-
2
-
-
84944413215
-
LLVA: A low-level virtual instruction set architecture
-
V. Adve, C. Lattner, M. Brukman, A. Shukla, and B. Gaeke. LLVA: A low-level virtual instruction set architecture. In MICRO '03, pages 205-216.
-
MICRO
, vol.3
, pp. 205-216
-
-
Adve, V.1
Lattner, C.2
Brukman, M.3
Shukla, A.4
Gaeke, B.5
-
3
-
-
84944392430
-
Checkpoint processing and recovery: Towards scalable large instruction window processors
-
H. Akkary, R. Rajwar, and S. Srinivasan. Checkpoint processing and recovery: Towards scalable large instruction window processors. In MICRO '03, pages 423-434.
-
MICRO
, vol.3
, pp. 423-434
-
-
Akkary, H.1
Rajwar, R.2
Srinivasan, S.3
-
4
-
-
49549119735
-
Reliable systems on unreliable fabrics
-
T. Austin, V. Bertacco, S. Mahlke, and Y. Cao. Reliable systems on unreliable fabrics. IEEE Design & Test of Computers, 25(4):322-332, 2008.
-
(2008)
IEEE Design & Test of Computers
, vol.25
, Issue.4
, pp. 322-332
-
-
Austin, T.1
Bertacco, V.2
Mahlke, S.3
Cao, Y.4
-
5
-
-
24644506256
-
CodeSurfer/x86-A platform for analyzing x86 executables
-
Compiler Construction - 14th International Conference, CC 2005, held as part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2005, Proceedings
-
G. Balakrishnan, R. Gruian, T. W. Reps, and T. Teitelbaum. Codesurfer/x86-a platform for analyzing x86 executables. In R. Bodík, editor, CC, volume 3443 of Lecture Notes in Computer Science, pages 250-254. Springer, 2005. (Pubitemid 41273910)
-
(2005)
Lecture Notes in Computer Science
, vol.3443
, pp. 250-254
-
-
Balakrishnan, G.1
Gruian, R.2
Reps, T.3
Teitelbaum, T.4
-
6
-
-
77951249330
-
Error resilient system architecture (ERSA) for probabilistic applications
-
J. Bau, R. Hankins, Q. Jacobson, S. Mitra, B. Saha, and A. Adl-Tabatabai. Error resilient system architecture (ERSA) for probabilistic applications. In SELSE '07.
-
SELSE
, vol.7
-
-
Bau, J.1
Hankins, R.2
Jacobson, Q.3
Mitra, S.4
Saha, B.5
Adl-Tabatabai, A.6
-
7
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In PACT '08, pages 72-81.
-
PACT
, vol.8
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
8
-
-
33750415121
-
Automatic instruction-level software-only recovery
-
J. Chang, G. A. Reis, and D. I. August. Automatic instruction-level software-only recovery. In DSN '06, pages 83-92.
-
DSN
, vol.6
, pp. 83-92
-
-
Chang, J.1
Reis, G.A.2
August, D.I.3
-
9
-
-
77954967124
-
A unified model for timing speculation: Evaluating the impact of technology scaling, CMOS design style, and fault recovery mechanism
-
M. de Kruijf, S. Nomura, and K. Sankaralingam. A unified model for timing speculation: Evaluating the impact of technology scaling, CMOS design style, and fault recovery mechanism. In DSN '10.
-
DSN
, vol.10
-
-
De Kruijf, M.1
Nomura, S.2
Sankaralingam, K.3
-
10
-
-
77954974173
-
-
University of Wisconsin-Madison, Department of Computer Sciences
-
M. de Kruijf, S. Nomura, and K. Sankaralingam. Design, modeling, and evaluation of the Relax architectural framework. Technical Report TR-1672, University of Wisconsin-Madison, Department of Computer Sciences, 2010.
-
(2010)
Design, Modeling, and Evaluation of the Relax Architectural Framework. Technical Report TR-1672
-
-
De Kruijf, M.1
Nomura, S.2
Sankaralingam, K.3
-
11
-
-
79959860111
-
Exploring the synergy of emerging workloads and silicon reliability trends
-
M. de Kruijf and K. Sankaralingam. Exploring the synergy of emerging workloads and silicon reliability trends. In SELSE '09.
-
SELSE
, vol.9
-
-
De Kruijf, M.1
Sankaralingam, K.2
-
12
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. Razor: A low-power pipeline based on circuit-level timing speculation. In MICRO '03, pages 7-18.
-
MICRO
, vol.3
, pp. 7-18
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
13
-
-
64949125875
-
Toward a multicore architecture for real-time ray-tracing
-
V. Govindaraju, P. Djeu, K. Sankaralingam, M. Vernon, and W. R. Mark. Toward a multicore architecture for real-time ray-tracing. In Proceedings of the 41st International Symposium on Microarchitecture, pages 176-187, 2008.
-
(2008)
Proceedings of the 41st International Symposium on Microarchitecture
, pp. 176-187
-
-
Govindaraju, V.1
Djeu, P.2
Sankaralingam, K.3
Vernon, M.4
Mark, W.R.5
-
14
-
-
47849119741
-
Paceline: Improving single-thread performance in nanoscale CMPs through core overclocking
-
B. Greskamp and J. Torrellas. Paceline: Improving single-thread performance in nanoscale CMPs through core overclocking. In PACT '07, pages 213-224.
-
PACT
, vol.7
, pp. 213-224
-
-
Greskamp, B.1
Torrellas, J.2
-
15
-
-
64949118635
-
Blueshift: Designing processors for timing speculation from the ground up
-
B. Greskamp, L. Wan, U. Karpuzcu, J. Cook, J. Torrellas, D. Chen, and C. Zilles. Blueshift: Designing processors for timing speculation from the ground up. In HPCA '09, pages 213-224.
-
HPCA
, vol.9
, pp. 213-224
-
-
Greskamp, B.1
Wan, L.2
Karpuzcu, U.3
Cook, J.4
Torrellas, J.5
Chen, D.6
Zilles, C.7
-
16
-
-
70450237431
-
Rigel: An architecture and scalable programming interface for a 1000-core accelerator
-
J. H. Kelm, D. R. Johnson, M. R. Johnson, N. C. Crago, W. Tuohy, A. Mahesri, S. S. Lumetta, M. I. Frank, and S. J. Patel. Rigel: An architecture and scalable programming interface for a 1000-core accelerator. In ISCA '09, pages 140-151.
-
ISCA
, vol.9
, pp. 140-151
-
-
Kelm, J.H.1
Johnson, D.R.2
Johnson, M.R.3
Crago, N.C.4
Tuohy, W.5
Mahesri, A.6
Lumetta, S.S.7
Frank, M.I.8
Patel, S.J.9
-
17
-
-
77954966863
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In HPCA '08, pages 213-224.
-
HPCA
, vol.8
, pp. 213-224
-
-
Kim, W.1
Gupta, M.2
Wei, G.-Y.3
Brooks, D.4
-
18
-
-
35448941890
-
Optimistic parallelism requires abstractions
-
M. Kulkarni, K. Pingali, B. Walter, G. Ramanarayanan, K. Bala, and L. P. Chew. Optimistic parallelism requires abstractions. In PLDI '07, pages 211-222.
-
PLDI
, vol.7
, pp. 211-222
-
-
Kulkarni, M.1
Pingali, K.2
Walter, B.3
Ramanarayanan, G.4
Bala, K.5
Chew, L.P.6
-
19
-
-
35348855586
-
Carbon: Architectural support for fine-grained parallelism on chip multiprocessors
-
S. Kumar, C. J. Hughes, and A. Nguyen. Carbon: architectural support for fine-grained parallelism on chip multiprocessors. In ISCA '07, pages 162-173.
-
ISCA
, vol.7
, pp. 162-173
-
-
Kumar, S.1
Hughes, C.J.2
Nguyen, A.3
-
20
-
-
3042658703
-
LLVM: A compilation framework for lifelong program analysis & transformation
-
C. Lattner and V. Adve. LLVM: A compilation framework for lifelong program analysis & transformation. In CGO '04, pages 75-88.
-
CGO
, vol.4
, pp. 75-88
-
-
Lattner, C.1
Adve, V.2
-
21
-
-
77957781457
-
Understanding the propagation of hard errors to software and implications for resilient system design
-
M. Li, P. Ramachandran, S. K. Sahoo, S. V. Adve, V. S. Adve, and Y. Zhou. Understanding the propagation of hard errors to software and implications for resilient system design. In ASPLOS '08, pages 265-276.
-
ASPLOS
, vol.8
, pp. 265-276
-
-
Li, M.1
Ramachandran, P.2
Sahoo, S.K.3
Adve, S.V.4
Adve, V.S.5
Zhou, Y.6
-
22
-
-
34547697289
-
Application-level correctness and its impact on fault tolerance
-
X. Li and D. Yeung. Application-level correctness and its impact on fault tolerance. In HPCA '07, pages 181-192.
-
HPCA
, vol.7
, pp. 181-192
-
-
Li, X.1
Yeung, D.2
-
24
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood. Pin: building customized program analysis tools with dynamic instrumentation. In PLDI '05, pages 190-200.
-
PLDI
, vol.5
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
25
-
-
41349107838
-
Argus: Low-cost comprehensive error detection in simple cores
-
A. Meixner, M. E. Bauer, and D. J. Sorin. Argus: Low-cost comprehensive error detection in simple cores. IEEE Micro, 28(1):52-59, 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.1
, pp. 52-59
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.J.3
-
26
-
-
47349119719
-
Effective optimistic-checker tandem core design through architectural pruning
-
F. Mesa-Martinez and J. Renau. Effective optimistic-checker tandem core design through architectural pruning. In MICRO '07, pages 236-248.
-
MICRO
, vol.7
, pp. 236-248
-
-
Mesa-Martinez, F.1
Renau, J.2
-
27
-
-
0036287327
-
Detailed design and evaluation of redundant multi-threading alternatives
-
S. Mukherjee, M. Kontz, and S. Reinhardt. Detailed design and evaluation of redundant multi-threading alternatives. In ISCA '02, pages 99-110.
-
ISCA
, vol.2
, pp. 99-110
-
-
Mukherjee, S.1
Kontz, M.2
Reinhardt, S.3
-
28
-
-
84955506994
-
Runahead execution: An alternative to very large instruction windows for out-of-order processors
-
O. Mutlu, J. Stark, C. Wilkerson, and Y. Patt. Runahead execution: an alternative to very large instruction windows for out-of-order processors. In HPCA '03, pages 129-140.
-
HPCA
, vol.3
, pp. 129-140
-
-
Mutlu, O.1
Stark, J.2
Wilkerson, C.3
Patt, Y.4
-
29
-
-
47349098275
-
Minebench: A benchmark suite for data mining workloads
-
R. Narayanan, B. Ozisikyilmaz, J. Zambreno, G. Memik, and A. Choudhary. Minebench: A benchmark suite for data mining workloads. In ISWC '06, pages 182-188.
-
ISWC
, vol.6
, pp. 182-188
-
-
Narayanan, R.1
Ozisikyilmaz, B.2
Zambreno, J.3
Memik, G.4
Choudhary, A.5
-
30
-
-
0032179680
-
Diskless checkpointing
-
J. S. Plank, K. Li, and M. A. Puening. Diskless checkpointing. IEEE Trans. on Parallel and Distributed Systems, 9(10):972-986, 1998.
-
(1998)
IEEE Trans. on Parallel and Distributed Systems
, vol.9
, Issue.10
, pp. 972-986
-
-
Plank, J.S.1
Li, K.2
Puening, M.A.3
-
31
-
-
70450271056
-
Architectural core salvaging in a multi-core processor for hard-error tolerance
-
M. D. Powell, A. Biswas, S. Gupta, and S. S. Mukherjee. Architectural core salvaging in a multi-core processor for hard-error tolerance. In ISCA '09, pages 93-104.
-
ISCA
, vol.9
, pp. 93-104
-
-
Powell, M.D.1
Biswas, A.2
Gupta, S.3
Mukherjee, S.S.4
-
32
-
-
0036290620
-
ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors
-
M. Prvulovic, Z. Zhang, and J. Torrellas. ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors. In ISCA '02, pages 111-122.
-
ISCA
, vol.2
, pp. 111-122
-
-
Prvulovic, M.1
Zhang, Z.2
Torrellas, J.3
-
33
-
-
85019407607
-
Software-controlled fault tolerance
-
G. A. Reis, J. Chang, N. Vachharajani, R. Rangan, D. I. August, and S. S. Mukherjee. Software-controlled fault tolerance. ACM Trans. on Architecture and Code Optimization, 2(4):366-396, 2005.
-
(2005)
ACM Trans. on Architecture and Code Optimization
, vol.2
, Issue.4
, pp. 366-396
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
Mukherjee, S.S.6
-
34
-
-
53349128424
-
Using likely program invariants to detect hardware errors
-
S. Sahoo, M.-L. Li, P. Ramachandran, S. Adve, V. Adve, and Y. Zhou. Using likely program invariants to detect hardware errors. In DSN '08, pages 70-79, 2008.
-
(2008)
DSN
, vol.8
, pp. 70-79
-
-
Sahoo, S.1
Li, M.-L.2
Ramachandran, P.3
Adve, S.4
Adve, V.5
Zhou, Y.6
-
35
-
-
38949186007
-
VARIUS: A model of process variation and resulting timing errors for microarchitects
-
S. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas. VARIUS: A model of process variation and resulting timing errors for microarchitects. IEEE Trans. on Semiconductor Manufacturing, 21(1):3-13, 2008.
-
(2008)
IEEE Trans. on Semiconductor Manufacturing
, vol.21
, Issue.1
, pp. 3-13
-
-
Sarangi, S.1
Greskamp, B.2
Teodorescu, R.3
Nakano, J.4
Tiwari, A.5
Torrellas, J.6
-
36
-
-
40349114890
-
Reunion: Complexity-effective multicore redundancy
-
J. C. Smolens, B. T. Gold, B. Falsafi, and J. C. Hoe. Reunion: Complexity-effective multicore redundancy. In MICRO '06, pages 223-234.
-
MICRO
, vol.6
, pp. 223-234
-
-
Smolens, J.C.1
Gold, B.T.2
Falsafi, B.3
Hoe, J.C.4
-
37
-
-
77956574682
-
Fault tolerant computer architecture
-
D. J. Sorin. Fault Tolerant Computer Architecture. Morgan & Claypool, 2009.
-
(2009)
Morgan & Claypool
-
-
Sorin, D.J.1
-
38
-
-
0036292677
-
SafetyNet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
D. J. Sorin, M. M. K. Martin, M. D. Hill, and D. A. Wood. SafetyNet: improving the availability of shared memory multiprocessors with global checkpoint/recovery. In ISCA '02, pages 123-134.
-
ISCA
, vol.2
, pp. 123-134
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
40
-
-
0036858210
-
Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
-
DOI 10.1109/JSSC.2002.803949
-
J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE Journal of Solid-State Circuits, 37(11):1396-1402, 2002. (Pubitemid 35432159)
-
(2002)
IEEE Journal of Solid-State Circuits
, vol.37
, Issue.11
, pp. 1396-1402
-
-
Tschanz, J.W.1
Kao, J.T.2
Narendra, S.G.3
Nair, R.4
Antoniadis, D.A.5
Chandrakasan, A.P.6
De, V.7
-
41
-
-
0028994273
-
Checkpointing and its applications
-
Y.-M. Wang, Y. Huang, K.-P. Vo, P.-Y. Chung, and C. Kintala. Checkpointing and its applications. In FTCS '95, page 22.
-
FTCS
, vol.95
, pp. 22
-
-
Wang, Y.-M.1
Huang, Y.2
Vo, K.-P.3
Chung, P.-Y.4
Kintala, C.5
-
42
-
-
84859074837
-
Soft error resilience of probabilistic inference applications
-
V. Wong and M. Horowitz. Soft error resilience of probabilistic inference applications. In SELSE '06.
-
SELSE
, vol.6
-
-
Wong, V.1
Horowitz, M.2
|