메뉴 건너뛰기




Volumn 18, Issue 2, 2013, Pages

A survey and taxonomy of on-chip monitoring of multicore systems-on-chip

Author keywords

Adaptive SoC; Diagnosis; Dynamic management; Fault tolerance; Multicore; Network on chip; On chip monitoring; On line debugging; Proactive SoC management; Reconfiguration; Run time optimization; Security

Indexed keywords

ADAPTIVE SOC; DYNAMIC MANAGEMENT; MULTI CORE; NETWORK ON CHIP; ON-CHIP MONITORING; RECONFIGURATION; RUNTIME OPTIMIZATION; SECURITY;

EID: 84878484855     PISSN: 10844309     EISSN: 15577309     Source Type: Journal    
DOI: 10.1145/2442087.2442088     Document Type: Article
Times cited : (37)

References (141)
  • 5
    • 70350594151 scopus 로고    scopus 로고
    • A feedback-based approach to dvfs in data-flow applications
    • Integ. Circ. Sys
    • ALIMONDA, A., CARTA, S., ACQUAVIVA, A., PISANO, A., AND BENINI, L. 2009. A feedback-based approach to dvfs in data-flow applications. Trans. Comp.-Aided Des. Integ. Circ. Sys. 28, 11, 1691-1704.
    • (2009) Trans. Comp.-Aided des , vol.28 , Issue.11 , pp. 1691-1704
    • Alimonda, A.1    Carta, S.2    Acquaviva, A.3    Pisano, A.4    Benini, L.5
  • 12
    • 52649141071 scopus 로고    scopus 로고
    • Efficient resource utilization for an extensible processor through dynamic instruction set adaptation
    • BAUER, L., SHAFIQUE,M., ANDHENKEL, J. 2008. Efficient resource utilization for an extensible processor through dynamic instruction set adaptation. IEEE Trans. VLSI Syst. 16, 10, 1295-1308.
    • (2008) IEEE Trans. VLSI Syst , vol.16 , Issue.10 , pp. 1295-1308
    • Bauer, L.1    Shafique, M.2    Andhenkel, J.3
  • 14
    • 0033706197 scopus 로고    scopus 로고
    • A survey of design techniques for system-level dynamic power management
    • BENINI, L., BOGLIOLO, A., AND MICHELI, G. D. 2000. A survey of design techniques for system-level dynamic power management. IEEE Trans. VLSI Syst. 8, 3, 299-316.
    • (2000) IEEE Trans. VLSI Syst , vol.8 , Issue.3 , pp. 299-316
    • Benini, L.1    Bogliolo, A.2    Micheli, G.D.3
  • 15
    • 84862992845 scopus 로고    scopus 로고
    • A low power real-time on-chip power sensor in 45-nm SOI
    • BHAGAVATULA, S. AND JUNG, B. 2012. A low power real-time on-chip power sensor in 45-nm SOI. IEEE Trans. Circuits Syst. 59-I, 7, 1577-1587.
    • (2012) IEEE Trans. Circuits Syst , vol.59 , Issue.7 , pp. 1577-1587
    • Bhagavatula, S.1    Jung, B.2
  • 16
    • 84976848638 scopus 로고
    • Dynamic adaptation of real-time software
    • BIHARI, T. E. AND SCHWAN, K. 1991. Dynamic adaptation of real-time software. ACM Trans. Comput. Syst. 9, 143-174.
    • (1991) ACM Trans. Comput. Syst , vol.9 , pp. 143-174
    • Bihari, T.E.1    Schwan, K.2
  • 17
    • 33846118079 scopus 로고    scopus 로고
    • Designing reliable systems from unreliable components: The challenges of transistor variability and degradation
    • BORKAR, S. 2005. Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro 25, 6, 10-16.
    • (2005) IEEE Micro , vol.25 , Issue.6 , pp. 10-16
    • Borkar, S.1
  • 20
    • 80052914490 scopus 로고    scopus 로고
    • All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control
    • BOWMAN, K. A., TOKUNAGA, C., ET AL. 2011. All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control. IEEE Trans. Circuits Syst 58-I, 9, 2017-2025.
    • (2011) IEEE Trans Circuits Syst , vol.58 I , Issue.9 , pp. 2017-2025
    • Bowman, K.A.1    Tokunaga, C.2    Al, E.T.3
  • 21
    • 78650879825 scopus 로고    scopus 로고
    • A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation
    • BULL, D., DAS, S., SHIVASHANKAR, K., DASIKA, G., FLAUTNER, K., AND BLAAUW, D. 2011. A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. IEEE J. Solid-State Circuits 46, 1, 18-31.
    • (2011) IEEE J Solid-State Circuits , vol.46 , Issue.1 , pp. 18-31
    • Bull, D.1    Das, S.2    Shivashankar, K.3    Dasika, G.4    Flautner, K.5    Blaauw, D.6
  • 23
    • 75549087719 scopus 로고    scopus 로고
    • Built-in sensor for signal integrity faults in digital interconnect signals
    • CHAMPAC, V., AVENDANO, V., AND FIGUERAS, J. 2010. Built-in sensor for signal integrity faults in digital interconnect signals. IEEE Trans. VLSI Syst. 18, 2, 256-269.
    • (2010) IEEE Trans. VLSI Syst , vol.18 , Issue.2 , pp. 256-269
    • Champac, V.1    Avendano, V.2    Figueras, J.3
  • 26
    • 77649114919 scopus 로고    scopus 로고
    • A time-domain sar smart temperature sensor with curvature compensation and a 3 inaccuracy of ?0.4c ; +0.6c over a 0c to 90c range
    • CHEN, P., CHEN, C.-C., PENG, Y.-H., WANG, K.-M., ANDWANG, Y.-S. 2010b. A time-domain sar smart temperature sensor with curvature compensation and a 3 inaccuracy of ?0.4c ; +0.6c over a 0c to 90c range. J. Solid-State Circuits 45, 3, 600-609.
    • (2010) J Solid-State Circuits , vol.45 , Issue.3 , pp. 600-609
    • Chen, P.1    Chen, C.-C.2    Peng, Y.-H.3    Wang, K.-M.4    Andwang, Y.-S.5
  • 27
    • 11844285622 scopus 로고    scopus 로고
    • Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times
    • CHOI, K., SOMA, R., AND PEDRAM, M. 2005. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24, 18-28.
    • (2005) IEEE Trans. Comput. Aided Des. Integr. Circuits Syst , vol.24 , pp. 18-28
    • Choi, K.1    Soma, R.2    Pedram, M.3
  • 28
    • 74549116412 scopus 로고    scopus 로고
    • EPRO-MP: A tool for profiling and optimizing energy and performance of mobile multiprocessor applications
    • CHOI, W., KIM, H., SONG, W., SONG, J., AND KIM, J. 2009. ePRO-MP: A tool for profiling and optimizing energy and performance of mobile multiprocessor applications. Sci. Program. 17, 285-294.
    • (2009) Sci. Program , vol.17 , pp. 285-294
    • Choi, W.1    Kim, H.2    Song, W.3    Song, J.4    Kim, J.5
  • 30
    • 42749087176 scopus 로고    scopus 로고
    • A monitoring-aware network-on-chip design flow
    • CIORDAS, C.,HANSSON, A.,GOOSSENS, K., AND BASTEN, T. 2008. A monitoring-aware network-on-chip design flow. J. Syst. Archit. 54, 3-4, 397-410.
    • (2008) J. Syst. Archit , vol.54 , Issue.3-4 , pp. 397-410
    • Ciordas, C.1    Hansson, A.2    Goossens, K.3    Basten, T.4
  • 31
    • 84878482066 scopus 로고    scopus 로고
    • Coresight Www.arm.com/products/solutions/coresight.html.
  • 32
    • 70349754155 scopus 로고    scopus 로고
    • Utilizing predictors for efficient thermal management in multiprocessor SoCs
    • COSKUN, A. K., ROSING, T. V., AND GROSS, K. C. 2009. Utilizing predictors for efficient thermal management in multiprocessor SoCs. IEEE Trans. Comp.-Aided Des. Integ. Cir. Sys. 28, 10, 1503-1516.
    • (2009) IEEE Trans. Comp.-Aided Des. Integ. Cir. Sys , vol.58 , Issue.10 , pp. 1503-1516
    • Coskun, A.A.K.1    Rosing, T.V.2    Gross, K.C.3
  • 33
    • 30744455761 scopus 로고    scopus 로고
    • Reusing an on-chip network for the test of core-based systems
    • COTA, E., CARRO, L., AND LUBASZEWSKI, M. 2004. Reusing an on-chip network for the test of core-based systems. ACM Trans. Des. Autom. Electron. Syst. 9, 4, 471-499.
    • (2004) ACM Trans. Des. Autom. Electron. Syst , vol.9 , Issue.4 , pp. 471-499
    • Cota, E.1    Carro, L.2    Lubaszewski, M.3
  • 35
    • 79953084404 scopus 로고    scopus 로고
    • Embedded debug architecture for bypassing blocking bugs during post-silicon validation
    • DAOUD, E. ANDNICOLICI,N. 2011. Embedded debug architecture for bypassing blocking bugs during post-silicon validation. IEEE Trans. VLSI Syst. 19, 4, 559-570.
    • (2011) IEEE Trans. VLSI Syst , vol.19 , Issue.4 , pp. 559-570
    • Daoud, E.1    Andnicolici, N.2
  • 39
    • 0036577143 scopus 로고    scopus 로고
    • Efficient reactive monitoring
    • DILMAN, M. AND RAZ, D. 2006. Efficient reactive monitoring. IEEE J. Sel. Areas Comm. 20, 4, 668-676.
    • (2006) IEEE J. Sel. Areas Comm , vol.20 , Issue.4 , pp. 668-676
    • Dilman, M.1    Raz, D.2
  • 45
    • 84862084864 scopus 로고    scopus 로고
    • Techniques for knowledge acquisition in dynamically changing environments
    • FISCH, D., FISCH, D., JANICKE, M., KALKOWSKI, E., AND SICK, B. 2012. Techniques for knowledge acquisition in dynamically changing environments. ACM Trans. Auton. Adapt. Syst. 7, 1, 16:1-16:25.
    • (2012) ACM Trans. Auton. Adapt. Syst , vol.7 , Issue.1 , pp. 161-1625
    • Fisch, D.1    Fisch, D.2    Janicke, M.3    Kalkowski, E.4    Sick, B.5
  • 48
    • 22944492681 scopus 로고    scopus 로고
    • Microprocessor design issues: Thoughts on the road ahead
    • FLYNN, M. J. AND HUNG, P. 2005. Microprocessor design issues: Thoughts on the road ahead. IEEE Micro 25, 16-31.
    • (2005) IEEE Micro , vol.25 , pp. 16-31
    • Flynn, M.J.1    Hung, P.2
  • 51
    • 0027543771 scopus 로고
    • CHAOSarc: Kernel support for multiweight objects, invocations, and atomicity in real-time multiprocessor applications
    • GHEITH, A. AND SCHWAN, K. 1993. CHAOSarc: kernel support for multiweight objects, invocations, and atomicity in real-time multiprocessor applications. ACM Trans. Comput. Syst. 11, 33-72.
    • (1993) ACM Trans. Comput. Syst , vol.11 , pp. 33-72
    • Gheith, A.1    Schwan, K.2
  • 54
    • 84863985165 scopus 로고    scopus 로고
    • Timedomain cmos temperature sensors with dual delay-locked loops for microprocessor thermal monitoring
    • HA, D., WOO, K.,MENINGER, S., XANTHOPOULOS, T., CRAIN, E., AND HAM, D. 2012. Timedomain cmos temperature sensors with dual delay-locked loops for microprocessor thermal monitoring. IEEE Trans. VLSI Syst. 20, 9, 1590-1601.
    • (2012) IEEE Trans. VLSI Syst , vol.20 , Issue.9 , pp. 1590-1601
    • Woo, K.1    Meninger, S.2    Xanthopoulos, T.3    Crain, E.4    Ham, D.5
  • 55
    • 17044410906 scopus 로고    scopus 로고
    • Vertical profiling: Understanding the behavior of object-priented applications
    • HAUSWIRTH, M., SWEENEY, P. F., DIWAN, A., AND HIND, M. 2004. Vertical profiling: understanding the behavior of object-priented applications. SIGPLAN Not. 39, 251-269.
    • (2004) SIGPLAN Not , vol.39 , pp. 251-269
    • Hauswirth, M.1    Sweeney, P.F.2    Diwan, A.3    Hind, M.4
  • 56
    • 84982385617 scopus 로고
    • The Rashomon effect: When ethnographers disagree
    • HEIDER, K. G. 1988. The Rashomon effect: When ethnographers disagree. Amer. Anthropologist 90, 1, 73-81.
    • (1988) Amer. Anthropologist , vol.90 , Issue.1 , pp. 73-81
    • Heider, K.G.1
  • 58
    • 33745687487 scopus 로고    scopus 로고
    • Debug support strategy for systems-on-chips with multiple processor cores
    • HOPKINS, A. B. T. ANDMCDONALD-MAIER, K.D. 2006. Debug support strategy for systems-on-chips with multiple processor cores. IEEE Trans. Comput. 55, 2, 174-184.
    • (2006) IEEE Trans. Comput , vol.55 , Issue.2 , pp. 174-184
    • Hopkins, A.B.T.1    Andmcdonald-Maier, K.D.2
  • 59
    • 67449106938 scopus 로고    scopus 로고
    • Two hardware-based approaches for deterministic multiprocessor replay
    • HOWER, D. R.,MONTESINOS, P., CEZE, L., HILL, M. D., AND TORRELLAS, J. 2009. Two hardware-based approaches for deterministic multiprocessor replay. Comm. ACM 52, 93-100.
    • (2009) Comm. ACM , vol.52 , pp. 93-100
    • Hower, R.1    Montesinos, D.P.2    Ceze, L.3    Hill, M.D.4    Torrellas, J.5
  • 61
    • 84878508733 scopus 로고    scopus 로고
    • INTEL TERAFLOPS. 2010
    • INTEL TERAFLOPS. 2010. http://www.intel.com/content/www/us/en/research/ intel-labsteraflops-researchchip.html.
  • 64
    • 23144447778 scopus 로고    scopus 로고
    • Immunity-based systems: A design perspective
    • Springer
    • ISHIDA, Y. 2004. Immunity-Based Systems: A Design Perspective. Advanced Information Processing. Springer.
    • (2004) Advanced Information Processing
    • Ishida, Y.1
  • 68
    • 41549122836 scopus 로고    scopus 로고
    • Silicon odometer: An on-chip reliability monitor for measuring frequency degradation ofdigital circuits
    • KIM, T.-H., PERSAUD, R., AND KIM, C. H. 2008. Silicon odometer: An on-chip reliability monitor for measuring frequency degradation ofdigital circuits. IEEE J. Solid-State Circuits 43, 4, 874-880.
    • (2008) IEEE J. Solid-State Circuits , vol.43 , Issue.4 , pp. 874-880
    • Kim, T.-H.1    Persaud, R.2    Kim, C.H.3
  • 69
    • 84863832368 scopus 로고    scopus 로고
    • Recent thermal management techniques for microprocessors
    • KONG, J., CHUNG, S. W., AND SKADRON, K. 2012. Recent thermal management techniques for microprocessors. ACM Comput. Surv. 44, 3 13:1-13:42.
    • (2012) ACM Comput. Surv , vol.44 , Issue.3 , pp. 131-1342
    • Kong, J.1    Chung, S.W.2    Skadron, K.3
  • 73
    • 27844542862 scopus 로고    scopus 로고
    • An embedded debugging architecture for socs
    • Leatherman R, Stollon N. 2005. An Embedded Debugging Architecture For Socs. Ieee Potentials 24, 1, 12-16
    • (2005) IEEE Potentials , vol.24 , Issue.1 , pp. 12-16
    • Leatherman, R.R.1    Stollon, N.2
  • 75
    • 21244456152 scopus 로고    scopus 로고
    • Feedback utilization control in distributed realtime systems with end-to-end tasks
    • LU, C.,WANG, X., AND KOUTSOUKOS, X. 2005. Feedback utilization control in distributed realtime systems with end-to-end tasks. IEEE Trans. Parallel Distrib. Syst. 16, 550-561.
    • (2005) IEEE Trans. Parallel Distrib. Syst , vol.16 , pp. 550-561
    • Wang, X.L.U.1    Koutsoukos, X.2
  • 81
    • 3342966061 scopus 로고    scopus 로고
    • The ganglia distributed monitoring system: Design, implementation, and experience
    • MASSIE, M. L., CHUN, B. N., AND CULLER, D. E. 2004. The ganglia distributed monitoring system: design, implementation, and experience. Parallel Comput. 30, 5-6, 817-840.
    • (2004) Parallel Comput , vol.30 , Issue.5-6 , pp. 817-840
    • Massie, M.L.1    Chun, B.N.2    Culler, D.E.3
  • 95
    • 77956204839 scopus 로고    scopus 로고
    • Thermal monitoring of real processors: Techniques for sensor allocation and full characterization
    • ACM, New York, NY
    • NOWROZ, A. N., COCHRAN, R., AND REDA, S. 2010. Thermal monitoring of real processors: techniques for sensor allocation and full characterization. In Proceedings of the 47th Design Automation Conference (DAC'10). ACM, New York, NY, 56-61.
    • (2010) Proceedings of the 47th Design Automation Conference (DAC'10) , pp. 56-61
    • Nowroz, A.N.1    Cochran, R.2    Reda, S.3
  • 96
    • 84878515047 scopus 로고    scopus 로고
    • NVIDIA TEGRA 3. 2012
    • NVIDIA TEGRA 3. 2012. www.nvidia.com/object/tegra-superchip.html.
  • 98
    • 75749103821 scopus 로고    scopus 로고
    • Post-silicon bug localization for processors using IFRA
    • PARK, S.-B. AND MITRA, S 2010. Post-silicon bug localization for processors using IFRA. Comm. ACM 53, 2, 106-113.
    • (2010) Comm. ACM , vol.53 , Issue.2 , pp. 106-113
    • Park, S.-B.1    Mitra, S.2
  • 99
    • 33846934999 scopus 로고    scopus 로고
    • Qos concept for scalable MPEG-4 video object decoding on multimedia (NoC) chips
    • PASTRNAK, M., H. N. DE WITH, P., AND MEERBERGEN, J. V. 2006. Qos concept for scalable MPEG-4 video object decoding on multimedia (NoC) chips. IEEE Trans. Consum. Electron. 52, 1418-1426.
    • (2006) IEEE Trans. Consum. Electron , vol.52 , pp. 1418-1426
    • Pastrnak, M.1    De With, P.H.N.2    Meerbergen, J.V.3
  • 104
    • 84878501389 scopus 로고    scopus 로고
    • Methods for fault tolerance in networks on chip
    • RADETZKI, M., FENG, C., ZHAO, X., AND JANTSCH, A. 2012. Methods for fault tolerance in networks on chip. ACM Comput. Surv. 44, 1-35.
    • (2012) ACM Comput. Surv , vol.44 , pp. 1-35
    • Radetzki, M.1    Feng, C.2    Zhao, X.3    Jantsch, A.4
  • 106
    • 70349735953 scopus 로고    scopus 로고
    • Phoenix: A self adaptable monitoring platform for cluster management
    • SAAB, C. B., BONNAIRE, X., AND FOLLIOT, B. 2002. Phoenix: A self adaptable monitoring platform for cluster management. Cluster Comput. 5, 1, 75-85.
    • (2002) Cluster Comput , vol.5 , Issue.1 , pp. 75-85
    • Saab, C.B.1    Bonnaire, X.2    Folliot, B.3
  • 109
    • 77950267881 scopus 로고    scopus 로고
    • A survey of online failure prediction methods
    • SALFNER, F., LENK, M., AND MALEK, M. 2010. A survey of online failure prediction methods. ACM Comput. Surv. 42, 3, 10:1-10:42.
    • (2010) ACM Comput. Surv , vol.52 , Issue.3 , pp. 101-1042
    • Salfner, F.1    Lenk, M.2    Malek, M.3
  • 113
    • 51749087112 scopus 로고    scopus 로고
    • An integrated framework for dependable and revivable architectures using multicore processors
    • SHI, W., LEE, H.-H. S., 'FALK, L., AND GHOSH, M. 2006. An integrated framework for dependable and revivable architectures using multicore processors. SIGARCH Comput. Archit. News 34, 2, 102-113.
    • (2006) SIGARCH Comput. Archit. News , vol.34 , Issue.2 , pp. 102-113
    • Shi, W.1    Lee, H.-H.S.2    Falk, L.3    Ghosh, M.4
  • 114
    • 77956030768 scopus 로고    scopus 로고
    • Temperature-aware energy minimization technique through dynamic voltage frequency scaling for embedded systems
    • SHU, L. AND LI, X. 2010. Temperature-aware energy minimization technique through dynamic voltage frequency scaling for embedded systems. In Proceedings of the 2nd International Conference on Education Technology and Comput. Vol. 2. V2-515-V2-519.
    • (2010) Proceedings of the 2nd International Conference on Education Technology and Comput. Vol , vol.2
    • Shu, L.1
  • 115
    • 84863981924 scopus 로고    scopus 로고
    • Compact degradation sensors for monitoring nbti and oxide degradation
    • SINGH, P., KARL, E., BLAAUW, D., AND SYLVESTER, D. 2012. Compact degradation sensors for monitoring nbti and oxide degradation. IEEE Trans. VLSI Syst. 20, 9, 1645-1655.
    • (2012) IEEE Trans. VLSI Syst. , vol.20 , Issue.9 , pp. 1645-1655
    • Singh, P.1    Karl, E.2    Blaauw, D.3    Sylvester, D.4
  • 119
    • 0036653890 scopus 로고    scopus 로고
    • The basics of performance-monitoring hardware
    • SPRUNT, B. 2002. The basics of performance-monitoring hardware. IEEE Micro 22, 64-71.
    • (2002) IEEE Micro , vol.22 , pp. 64-71
    • Sprunt, B.1
  • 121
    • 12844267418 scopus 로고    scopus 로고
    • Secure program execution via dynamic information flow tracking
    • SUH, G. E., LEE, J. W., ZHANG, D., AND DEVADAS, S. 2004. Secure program execution via dynamic information flow tracking. SIGARCH Comput. Archit. News 32, 85-96.
    • (2004) SIGARCH Comput. Archit. News , vol.32 , pp. 85-96
    • Suh, G.E.1    Lee, J.W.2    Zhang, D.3    Devadas, S.4
  • 123
    • 33846044638 scopus 로고    scopus 로고
    • ElastIC: An adaptive self-healing architecture for unpredictable silicon
    • SYLVESTER, D.,BLAAUW, D., AND KARL, E. 2006. ElastIC: An adaptive self-healing architecture for unpredictable silicon. IEEE Des. Test 23, 484-490.
    • (2006) IEEE Des. Test , vol.23 , pp. 484-490
    • Sylvester, D.1    Blaauw, D.2    Karl, E.3
  • 125
    • 84878499021 scopus 로고    scopus 로고
    • TILERA CORPORATION. 2009. The TILE64 chip
    • TILERA CORPORATION. 2009. The TILE64 chip. www.tilera.com.
  • 129
    • 77958120253 scopus 로고    scopus 로고
    • Debugging multi-core systems on chip
    • G. Kornaros, Ed., CRC Press, Taylor & Francis Group
    • VERMEULEN, B. AND GOOSSENS, K. 2010. Debugging multi-core systems on chip. In Multi-Core Embedded Systems, G. Kornaros, Ed., CRC Press, Taylor & Francis Group, 153-198.
    • (2010) Multi-Core Embedded Systems , pp. 153-198
    • Vermeulen, B.1    Goossens, K.2
  • 134
    • 84855418358 scopus 로고    scopus 로고
    • NUDA: A non-uniform debugging architecture and nonintrusive race detection for many-core systems
    • WEN, C.-N., CHOU, S.-H., CHEN, C.-C., AND CHEN, T.-F. 2012. NUDA: A non-uniform debugging architecture and nonintrusive race detection for many-core systems. IEEE Trans. Comput. 61, 2, 199-212.
    • (2012) IEEE Trans. Comput. , vol.61 , Issue.2 , pp. 199-212
    • Wen, C.-N.1    Chou, S.-H.2    Chen, C.-C.3    Chen, T.-F.4
  • 135
    • 84878516239 scopus 로고    scopus 로고
    • XILINX CHIPSCOPE
    • XILINX CHIPSCOPE. www.xilinx.com/tools/cspro.htm.
  • 139
    • 79961007563 scopus 로고    scopus 로고
    • Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases
    • ZHANG, Y. AND SRIVASTAVA, A. 2011. Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases. IEEE Trans. VLSI Syst. 19, 9, 1617-1626.
    • (2011) IEEE Trans. VLSI Syst , vol.19 , Issue.9 , pp. 1617-1626
    • Zhang, Y.1    Srivastava, A.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.