-
1
-
-
34547229372
-
A reconfigurable design-for-debug infrastructure for socs
-
ACM, New York, NY
-
ABRAMOVICI, M., BRADLEY, P., DWARAKANATH, K., LEVIN, P., MEMMI, G., AND MILLER, D. 2006. A reconfigurable design-for-debug infrastructure for socs. In Proceedings of the 43rd annual Design Automation Conference (DAC'06). ACM, New York, NY, 7-12.
-
(2006)
Proceedings of the 43rd Annual Design Automation Conference (DAC'06)
, pp. 7-12
-
-
Abramovici, M.1
Bradley, P.2
Dwarakanath, K.3
Levin, P.4
Memmi, G.5
Miller, D.6
-
3
-
-
50249167276
-
Run-time adaptive on-chip communication scheme
-
IEEE
-
AL FARUQUE, M. A., EBI, T., AND HENKEL, J. 2007. Run-time adaptive on-chip communication scheme. In Proceedings of the IEEE/ACM international conference on Computer-aided design (ICCAD'07). IEEE, 26-31.
-
(2007)
Proceedings of the IEEE/ACM International Conference on Computer-aided Design (ICCAD'07)
, pp. 26-31
-
-
Faruque, M.A.A.L.1
Ebi, T.2
Henkel, J.3
-
4
-
-
51549095007
-
ADAM: Run-time agent-based distributed application mapping for on-chip communication
-
ACM, New York, NY
-
AL FARUQUE, M. A., KRIST, R., AND HENKEL, J. 2008. ADAM: run-time agent-based distributed application mapping for on-chip communication. In Proceedings of the 45th Annual Design Automation Conference (DAC'08). ACM, New York, NY, 760-765.
-
(2008)
Proceedings of the 45th Annual Design Automation Conference (DAC'08)
, pp. 760-765
-
-
Faruque, M.A.A.L.1
Krist, R.2
Henkel, J.3
-
5
-
-
70350594151
-
A feedback-based approach to dvfs in data-flow applications
-
Integ. Circ. Sys
-
ALIMONDA, A., CARTA, S., ACQUAVIVA, A., PISANO, A., AND BENINI, L. 2009. A feedback-based approach to dvfs in data-flow applications. Trans. Comp.-Aided Des. Integ. Circ. Sys. 28, 11, 1691-1704.
-
(2009)
Trans. Comp.-Aided des
, vol.28
, Issue.11
, pp. 1691-1704
-
-
Alimonda, A.1
Carta, S.2
Acquaviva, A.3
Pisano, A.4
Benini, L.5
-
6
-
-
74049164160
-
The cat is out of the bag: Cortical simulations with 109 neurons, 1013 synapses
-
ACM, New York, NY
-
ANANTHANARAYANAN, R., ESSER, S. K., SIMON, H. D., AND MODHA, D. S. 2009. The cat is out of the bag: Cortical simulations with 109 neurons, 1013 synapses. In Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis (SC'09). ACM, New York, NY, 63:1-63:12.
-
(2009)
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis (SC'09)
, pp. 631-6312
-
-
Ananthanarayanan, R.1
Esser, S.K.2
Simon, H.D.3
Modha, D.S.4
-
7
-
-
0031540532
-
Continuous profiling: Where have all the cycles gone?
-
ACM, New York, NY
-
ANDERSON, J. M., BERC, L. M., DEAN, J., GHEMAWAT, S., HENZINGER, M. R., LEUNG, S.-T. A., SITES, R. L., VANDEVOORDE, M. T., WALDSPURGER, C. A., ANDWEIHL,W. E. 1997. Continuous profiling: where have all the cycles gone? In Proceedings of the 16th ACM Symposium on Operating Systems Principles (SOSP'97). ACM, New York, NY, 1-14.
-
(1997)
Proceedings of the 16th ACM Symposium on Operating Systems Principles (SOSP'97)
, pp. 1-14
-
-
Anderson, J.M.1
Berc, L.M.2
Dean, J.3
Ghemawat, S.4
Henzinger, M.R.5
Leung, S.-T.A.6
Sites, R.L.7
Vandevoorde, M.T.8
Waldspurger, C.A.9
Andweihlw, E.10
-
8
-
-
27644598154
-
Secure embedded processing through hardwareassisted run-time monitoring
-
IEEE
-
ARORA, D., RAVI, S., RAGHUNATHAN, A., AND JHA, N. K. 2005. Secure embedded processing through hardwareassisted run-time monitoring. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'05). IEEE, 178-183.
-
(2005)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'05)
, pp. 178-183
-
-
Arora, D.1
Ravi, S.2
Raghunathan, A.3
Jha, N.K.4
-
9
-
-
49549092447
-
Reliability-aware design for nanometer-scale devices
-
IEEE
-
ATIENZA, D., DE MICHELI, G., BENINI, L., AYALA, J. L., VALLE, P. G. D., DEBOLE, M., AND NARAYANAN, V. 2008. Reliability-aware design for nanometer-scale devices. In Proceedings of the 2008 Asia and South Pacific Design Automation Conference (ASP-DAC'08). IEEE, 549-554.
-
(2008)
Proceedings of the 2008 Asia and South Pacific Design Automation Conference (ASP-DAC'08)
, pp. 549-554
-
-
Atienza, D.1
De Micheli, G.2
Benini, L.3
Ayala, J.L.4
Valle, P.G.D.5
Debole, M.6
Narayanan, V.7
-
11
-
-
79959602166
-
Karma: Scalable deterministic record-replay
-
ACM, New York, NY
-
BASU, A., BOBBA, J., AND HILL, M. D. 2011. Karma: scalable deterministic record-replay. In Proceedings of the International Conference on Supercomputing (ICS'11). ACM, New York, NY, 359-368.
-
(2011)
Proceedings of the International Conference on Supercomputing (ICS'11)
, pp. 359-368
-
-
Basu, A.1
Bobba, J.2
Hill, M.D.3
-
12
-
-
52649141071
-
Efficient resource utilization for an extensible processor through dynamic instruction set adaptation
-
BAUER, L., SHAFIQUE,M., ANDHENKEL, J. 2008. Efficient resource utilization for an extensible processor through dynamic instruction set adaptation. IEEE Trans. VLSI Syst. 16, 10, 1295-1308.
-
(2008)
IEEE Trans. VLSI Syst
, vol.16
, Issue.10
, pp. 1295-1308
-
-
Bauer, L.1
Shafique, M.2
Andhenkel, J.3
-
13
-
-
85185409181
-
Digital on-demand computing organism for real-time systems
-
BECKER, J., BRANDLE, K., BRINKSCHULTE, U., HENKEL, J., KARL, W., KOSTER, T., WENZ, M., AND WORN, H. 2006. Digital on-demand computing organism for real-time systems. In Proceedings of the 19th International Conference on Architecture of Computing Systems Workshops. 230-245.
-
(2006)
Proceedings of the 19th International Conference on Architecture of Computing Systems Workshops
, pp. 230-245
-
-
Becker, J.1
Brandle, K.2
Brinkschulte, U.3
Henkel, J.4
Karl, W.5
Koster, T.6
Wenz, M.7
Worn, H.8
-
14
-
-
0033706197
-
A survey of design techniques for system-level dynamic power management
-
BENINI, L., BOGLIOLO, A., AND MICHELI, G. D. 2000. A survey of design techniques for system-level dynamic power management. IEEE Trans. VLSI Syst. 8, 3, 299-316.
-
(2000)
IEEE Trans. VLSI Syst
, vol.8
, Issue.3
, pp. 299-316
-
-
Benini, L.1
Bogliolo, A.2
Micheli, G.D.3
-
15
-
-
84862992845
-
A low power real-time on-chip power sensor in 45-nm SOI
-
BHAGAVATULA, S. AND JUNG, B. 2012. A low power real-time on-chip power sensor in 45-nm SOI. IEEE Trans. Circuits Syst. 59-I, 7, 1577-1587.
-
(2012)
IEEE Trans. Circuits Syst
, vol.59
, Issue.7
, pp. 1577-1587
-
-
Bhagavatula, S.1
Jung, B.2
-
16
-
-
84976848638
-
Dynamic adaptation of real-time software
-
BIHARI, T. E. AND SCHWAN, K. 1991. Dynamic adaptation of real-time software. ACM Trans. Comput. Syst. 9, 143-174.
-
(1991)
ACM Trans. Comput. Syst
, vol.9
, pp. 143-174
-
-
Bihari, T.E.1
Schwan, K.2
-
17
-
-
33846118079
-
Designing reliable systems from unreliable components: The challenges of transistor variability and degradation
-
BORKAR, S. 2005. Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro 25, 6, 10-16.
-
(2005)
IEEE Micro
, vol.25
, Issue.6
, pp. 10-16
-
-
Borkar, S.1
-
20
-
-
80052914490
-
All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control
-
BOWMAN, K. A., TOKUNAGA, C., ET AL. 2011. All-digital circuit-level dynamic variation monitor for silicon debug and adaptive clock control. IEEE Trans. Circuits Syst 58-I, 9, 2017-2025.
-
(2011)
IEEE Trans Circuits Syst
, vol.58 I
, Issue.9
, pp. 2017-2025
-
-
Bowman, K.A.1
Tokunaga, C.2
Al, E.T.3
-
21
-
-
78650879825
-
A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation
-
BULL, D., DAS, S., SHIVASHANKAR, K., DASIKA, G., FLAUTNER, K., AND BLAAUW, D. 2011. A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation. IEEE J. Solid-State Circuits 46, 1, 18-31.
-
(2011)
IEEE J Solid-State Circuits
, vol.46
, Issue.1
, pp. 18-31
-
-
Bull, D.1
Das, S.2
Shivashankar, K.3
Dasika, G.4
Flautner, K.5
Blaauw, D.6
-
22
-
-
77957948826
-
Distributed DVFS using rationally-related frequencies and discrete voltage levels
-
ACM, New York, NY
-
CHABLOZ, J.-M. AND HEMANI, A2010. Distributed DVFS using rationally-related frequencies and discrete voltage levels. In Proceedings of the 16th ACM/IEEE International Symposium on Low power electronics and design (ISLPED'10). ACM, New York, NY, 247-252.
-
(2010)
Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'10)
, pp. 247-252
-
-
Chabloz, J.-M.1
Hemani, A.2
-
23
-
-
75549087719
-
Built-in sensor for signal integrity faults in digital interconnect signals
-
CHAMPAC, V., AVENDANO, V., AND FIGUERAS, J. 2010. Built-in sensor for signal integrity faults in digital interconnect signals. IEEE Trans. VLSI Syst. 18, 2, 256-269.
-
(2010)
IEEE Trans. VLSI Syst
, vol.18
, Issue.2
, pp. 256-269
-
-
Champac, V.1
Avendano, V.2
Figueras, J.3
-
25
-
-
77954007684
-
Taming hardware event samples for FDO compilation
-
ACM, New York, NY
-
CHEN, D., VACHHARAJANI, N., HUNDT, R., LIAO, S.-W., RAMASAMY, V., YUAN, P., CHEN, W., AND ZHENG, W. 2010a. Taming hardware event samples for FDO compilation. In Proceedings of the 8th Annual IEEE/ACM International Symposium on Code Generation and Optimization (CGO'10). ACM, New York, NY, 42-52.
-
(2010)
Proceedings of the 8th Annual IEEE/ACM International Symposium on Code Generation and Optimization (CGO'10)
, pp. 42-52
-
-
Chen, D.1
Vachharajani, N.2
Hundt, R.3
Liao, S.-W.4
Ramasamy, V.5
Yuan, P.6
Chen, W.7
Zheng, W.8
-
26
-
-
77649114919
-
A time-domain sar smart temperature sensor with curvature compensation and a 3 inaccuracy of ?0.4c ; +0.6c over a 0c to 90c range
-
CHEN, P., CHEN, C.-C., PENG, Y.-H., WANG, K.-M., ANDWANG, Y.-S. 2010b. A time-domain sar smart temperature sensor with curvature compensation and a 3 inaccuracy of ?0.4c ; +0.6c over a 0c to 90c range. J. Solid-State Circuits 45, 3, 600-609.
-
(2010)
J Solid-State Circuits
, vol.45
, Issue.3
, pp. 600-609
-
-
Chen, P.1
Chen, C.-C.2
Peng, Y.-H.3
Wang, K.-M.4
Andwang, Y.-S.5
-
27
-
-
11844285622
-
Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times
-
CHOI, K., SOMA, R., AND PEDRAM, M. 2005. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24, 18-28.
-
(2005)
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst
, vol.24
, pp. 18-28
-
-
Choi, K.1
Soma, R.2
Pedram, M.3
-
28
-
-
74549116412
-
EPRO-MP: A tool for profiling and optimizing energy and performance of mobile multiprocessor applications
-
CHOI, W., KIM, H., SONG, W., SONG, J., AND KIM, J. 2009. ePRO-MP: A tool for profiling and optimizing energy and performance of mobile multiprocessor applications. Sci. Program. 17, 285-294.
-
(2009)
Sci. Program
, vol.17
, pp. 285-294
-
-
Choi, W.1
Kim, H.2
Song, W.3
Song, J.4
Kim, J.5
-
29
-
-
33745183091
-
An event-based monitoring service for networks on chip
-
CIORDAS, C., BASTEN, T., RADULESCU, A., GOOSSENS, K., AND MEERBERGEN, J. V. 2005. An event-based monitoring service for networks on chip. ACM Trans. Des. Autom. Electron. Syst. 10, 4, 702-723.
-
(2005)
ACM Trans Des. Autom. Electron. Syst
, vol.10
, Issue.4
, pp. 702-723
-
-
Ciordas, C.1
Basten, T.2
Radulescu, A.3
Goossens, K.4
Meerbergen, J.V.5
-
30
-
-
42749087176
-
A monitoring-aware network-on-chip design flow
-
CIORDAS, C.,HANSSON, A.,GOOSSENS, K., AND BASTEN, T. 2008. A monitoring-aware network-on-chip design flow. J. Syst. Archit. 54, 3-4, 397-410.
-
(2008)
J. Syst. Archit
, vol.54
, Issue.3-4
, pp. 397-410
-
-
Ciordas, C.1
Hansson, A.2
Goossens, K.3
Basten, T.4
-
31
-
-
84878482066
-
-
Coresight Www.arm.com/products/solutions/coresight.html.
-
-
-
-
32
-
-
70349754155
-
Utilizing predictors for efficient thermal management in multiprocessor SoCs
-
COSKUN, A. K., ROSING, T. V., AND GROSS, K. C. 2009. Utilizing predictors for efficient thermal management in multiprocessor SoCs. IEEE Trans. Comp.-Aided Des. Integ. Cir. Sys. 28, 10, 1503-1516.
-
(2009)
IEEE Trans. Comp.-Aided Des. Integ. Cir. Sys
, vol.58
, Issue.10
, pp. 1503-1516
-
-
Coskun, A.A.K.1
Rosing, T.V.2
Gross, K.C.3
-
33
-
-
30744455761
-
Reusing an on-chip network for the test of core-based systems
-
COTA, E., CARRO, L., AND LUBASZEWSKI, M. 2004. Reusing an on-chip network for the test of core-based systems. ACM Trans. Des. Autom. Electron. Syst. 9, 4, 471-499.
-
(2004)
ACM Trans. Des. Autom. Electron. Syst
, vol.9
, Issue.4
, pp. 471-499
-
-
Cota, E.1
Carro, L.2
Lubaszewski, M.3
-
34
-
-
0034848112
-
Route packets, not wires: On-chip inteconnection networks
-
ACM, New York, NY
-
DALLY, W. J. AND TOWLES, B. 2001. Route packets, not wires: on-chip inteconnection networks. In Proceedings of the 38th annual Design Automation Conference (DAC'01). ACM, New York, NY, 684-689.
-
(2001)
Proceedings of the 38th Annual Design Automation Conference (DAC'01)
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
35
-
-
79953084404
-
Embedded debug architecture for bypassing blocking bugs during post-silicon validation
-
DAOUD, E. ANDNICOLICI,N. 2011. Embedded debug architecture for bypassing blocking bugs during post-silicon validation. IEEE Trans. VLSI Syst. 19, 4, 559-570.
-
(2011)
IEEE Trans. VLSI Syst
, vol.19
, Issue.4
, pp. 559-570
-
-
Daoud, E.1
Andnicolici, N.2
-
36
-
-
80052690963
-
TAB-backspace: Unlimited-length trace buffers with zero additional on-chip overhead
-
ACM, New York, NY
-
DE PAULA, F. M., NAHIR, A., NEVO, Z., ORNI, A., AND HU, A. J. 2011. TAB-backspace: Unlimited-length trace buffers with zero additional on-chip overhead. In Proceedings of the 48th Design Automation Conference (DAC'11). ACM, New York, NY, 411-416.
-
(2011)
Proceedings of the 48th Design Automation Conference (DAC'11)
, pp. 411-416
-
-
De Paula, F.M.1
Nahir, A.2
Nevo, Z.3
Orni, A.4
-
37
-
-
0031340339
-
ProfileMe: Hardware support for instruction-level profiling on out-of-order processors
-
DEAN, J., HICKS, J. E., WALDSPURGER, C. A., WEIHL, W. E., AND CHRYSOS, G. 1997. ProfileMe: hardware support for instruction-level profiling on out-of-order processors. In Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE, 292-302.
-
(1997)
Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE
, pp. 292-302
-
-
Dean, J.1
Hicks, J.E.2
Waldspurger, C.A.3
Weihl, W.E.4
Chrysos, G.5
-
38
-
-
80052538882
-
Rapid identification of architectural bottlenecks via precise event counting
-
ACM, New York, NY
-
DEMME, J. AND SETHUMADHAVAN, S. 2011. Rapid identification of architectural bottlenecks via precise event counting. In Proceedings of the 38th Annual International Symposium on Computer architecture (ISCA '11). ACM, New York, NY, 353-364.
-
(2011)
Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA '11)
, pp. 353-364
-
-
Demme, J.1
Sethumadhavan, S.2
-
39
-
-
0036577143
-
Efficient reactive monitoring
-
DILMAN, M. AND RAZ, D. 2006. Efficient reactive monitoring. IEEE J. Sel. Areas Comm. 20, 4, 668-676.
-
(2006)
IEEE J. Sel. Areas Comm
, vol.20
, Issue.4
, pp. 668-676
-
-
Dilman, M.1
Raz, D.2
-
40
-
-
79951697270
-
A predictive model for dynamic microarchitectural adaptivity control
-
IEEE
-
DUBACH, C., JONES, T. M., BONILLA, E. V., AND O'BOYLE, M. F. P. 2010. A predictive model for dynamic microarchitectural adaptivity control. In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 485-496.
-
(2010)
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 485-496
-
-
Dubach, C.1
Jones, T.M.2
Bonilla, E.V.3
O'boyle, M.F.P.4
-
42
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
IEEE
-
ERNST, D., KIM, N. S., DAS, S., PANT, S., RAO, R., PHAM, T., ZIESLER, C., BLAAUW, D., AUSTIN, T., FLAUTNER, K., AND MUDGE, T. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 7.
-
(2003)
Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 7
-
-
Ernst, D.1
Kim, N.S.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Ziesler, C.7
Blaauw, D.8
Austin, T.9
Flautner, K.10
Mudge, T.11
-
43
-
-
70350721929
-
Vicis: A reliable network for unreliable silicon
-
ACM, New York, NY
-
FICK, D., DEORIO, A., HU, J., BERTACCO, V., BLAAUW, D., AND SYLVESTER, D. 2009. Vicis: A reliable network for unreliable silicon. In Proceedings of the 46th Annual Design Automation Conference (DAC'09). ACM, New York, NY, 812-817.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference (DAC'09)
, pp. 812-817
-
-
Fick, D.1
Deorio, A.2
Bertacco, V.3
Blaauw, D.4
Sylvester, D.5
-
44
-
-
49149125905
-
Secure memory accesses on networkson-chip
-
FIORIN, L., PALERMO, G., LUKOVIC, S., CATALANO, V., AND SILVANO, C. 2008. Secure memory accesses on networkson-chip. IEEE Trans. Comput. 57, 1216-1229.
-
(2008)
IEEE Trans. Comput
, vol.57
, pp. 1216-1229
-
-
Fiorin, L.1
Palermo, G.2
Lukovic, S.3
Catalano, V.4
Silvano, C.5
-
45
-
-
84862084864
-
Techniques for knowledge acquisition in dynamically changing environments
-
FISCH, D., FISCH, D., JANICKE, M., KALKOWSKI, E., AND SICK, B. 2012. Techniques for knowledge acquisition in dynamically changing environments. ACM Trans. Auton. Adapt. Syst. 7, 1, 16:1-16:25.
-
(2012)
ACM Trans. Auton. Adapt. Syst
, vol.7
, Issue.1
, pp. 161-1625
-
-
Fisch, D.1
Fisch, D.2
Janicke, M.3
Kalkowski, E.4
Sick, B.5
-
46
-
-
79955366638
-
Introducing the adaptive energy management features of the Power7 chip
-
FLOYD, M., ALLEN-WARE, M., RAJAMANI, K., BROCK, B., LEFURGY, C., DRAKE, A. J., PESANTEZ, L., GLOEKLER, T., TIERNO, J. A., BOSE, P., AND BUYUKTOSUNOGLU, A. 2011. Introducing the adaptive energy management features of the Power7 chip. IEEE Micro 31, 60-75.
-
(2011)
IEEE Micro
, vol.31
, pp. 60-75
-
-
Floyd, M.1
Allen-Ware, M.2
Rajamani, K.3
Brock, B.4
Lefurgy, C.5
Drake, A.J.6
Pesantez, L.7
Gloekler, T.8
Tierno, J.A.9
Bose, P.10
Buyuktosunoglu, A.11
-
47
-
-
37549020306
-
System power management support in the IBM Power6 microprocessor
-
FLOYD, M. S., GHIASI, S.,KELLER, T. W., RAJAMANI, K., RAWSON, F. L., RUBIO, J. C., AND WARE, M. S. 2007. System power management support in the IBM Power6 microprocessor. IBM J. Res. Dev. 51, 6, 733-746.
-
(2007)
IBM J. Res. Dev
, vol.51
, Issue.6
, pp. 733-746
-
-
Floyd, M.S.1
Ghiasi, S.2
Keller, T.W.3
Rajamani, K.4
Rawson, F.L.5
Rubio, J.C.6
Ware, M.S.7
-
48
-
-
22944492681
-
Microprocessor design issues: Thoughts on the road ahead
-
FLYNN, M. J. AND HUNG, P. 2005. Microprocessor design issues: Thoughts on the road ahead. IEEE Micro 25, 16-31.
-
(2005)
IEEE Micro
, vol.25
, pp. 16-31
-
-
Flynn, M.J.1
Hung, P.2
-
49
-
-
77953840217
-
Feedback thermal control for real-time systems
-
IEEE
-
FU, Y., KOTTENSTETTE, N., CHEN, Y., LU, C., KOUTSOUKOS, X. D., AND WANG, H. 2010. Feedback thermal control for real-time systems. In Proceedings of the 16th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'10). IEEE, 111-120.
-
(2010)
Proceedings of the 16th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'10)
, pp. 111-120
-
-
Fu, Y.1
Kottenstette, N.2
Chen, Y.3
Lu, C.4
Koutsoukos, X.D.5
Wang, H.6
-
50
-
-
84878281136
-
Overview of the SpiNNaker system architecture
-
FURBER, S. B.,LESTER, D. R.,PLANA, L. A.,GARSIDE, J. D.,PAINKRAS, E.,TEMPLE, S., AND BROWN, A. 2012. Overview of the SpiNNaker system architecture. IEEE Trans. Comput. PP, 1.
-
(2012)
IEEE Trans. Comput
, pp. 1
-
-
Furber, S.B.1
Lester, D.R.2
Plana, L.A.3
Garside, J.D.4
Painkras, E.5
Temple, S.6
Brown, A.7
-
51
-
-
0027543771
-
CHAOSarc: Kernel support for multiweight objects, invocations, and atomicity in real-time multiprocessor applications
-
GHEITH, A. AND SCHWAN, K. 1993. CHAOSarc: kernel support for multiweight objects, invocations, and atomicity in real-time multiprocessor applications. ACM Trans. Comput. Syst. 11, 33-72.
-
(1993)
ACM Trans. Comput. Syst
, vol.11
, pp. 33-72
-
-
Gheith, A.1
Schwan, K.2
-
52
-
-
34548329435
-
A one-shot configurable-cache tuner for improved energy and performance
-
EDA Consortium, San Jose, CA
-
GORDON-ROSS, A., VIANA, P., VAHID, F.,NAJJAR, W., AND BARROS, E. 2007. A one-shot configurable-cache tuner for improved energy and performance. In Proceedings of the Conference on Design, Automation and TEST in Europe (DATE'07). EDA Consortium, San Jose, CA, 755-760.
-
(2007)
Proceedings of the Conference on Design, Automation and TEST in Europe (DATE'07)
, pp. 755-760
-
-
Gordon-Ross, A.1
Viana, P.2
Vahid, F.3
Najjar, W.4
Barros, E.5
-
54
-
-
84863985165
-
Timedomain cmos temperature sensors with dual delay-locked loops for microprocessor thermal monitoring
-
HA, D., WOO, K.,MENINGER, S., XANTHOPOULOS, T., CRAIN, E., AND HAM, D. 2012. Timedomain cmos temperature sensors with dual delay-locked loops for microprocessor thermal monitoring. IEEE Trans. VLSI Syst. 20, 9, 1590-1601.
-
(2012)
IEEE Trans. VLSI Syst
, vol.20
, Issue.9
, pp. 1590-1601
-
-
Woo, K.1
Meninger, S.2
Xanthopoulos, T.3
Crain, E.4
Ham, D.5
-
55
-
-
17044410906
-
Vertical profiling: Understanding the behavior of object-priented applications
-
HAUSWIRTH, M., SWEENEY, P. F., DIWAN, A., AND HIND, M. 2004. Vertical profiling: understanding the behavior of object-priented applications. SIGPLAN Not. 39, 251-269.
-
(2004)
SIGPLAN Not
, vol.39
, pp. 251-269
-
-
Hauswirth, M.1
Sweeney, P.F.2
Diwan, A.3
Hind, M.4
-
56
-
-
84982385617
-
The Rashomon effect: When ethnographers disagree
-
HEIDER, K. G. 1988. The Rashomon effect: When ethnographers disagree. Amer. Anthropologist 90, 1, 73-81.
-
(1988)
Amer. Anthropologist
, vol.90
, Issue.1
, pp. 73-81
-
-
Heider, K.G.1
-
57
-
-
77954994853
-
An integrated GPU power and performance model
-
ACM, New York, NY
-
HONG, S. AND KIM, H. 2010. An integrated GPU power and performance model. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10). ACM, New York, NY, 280-289.
-
(2010)
Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10)
, pp. 280-289
-
-
Hong, S.1
Kim, H.2
-
58
-
-
33745687487
-
Debug support strategy for systems-on-chips with multiple processor cores
-
HOPKINS, A. B. T. ANDMCDONALD-MAIER, K.D. 2006. Debug support strategy for systems-on-chips with multiple processor cores. IEEE Trans. Comput. 55, 2, 174-184.
-
(2006)
IEEE Trans. Comput
, vol.55
, Issue.2
, pp. 174-184
-
-
Hopkins, A.B.T.1
Andmcdonald-Maier, K.D.2
-
59
-
-
67449106938
-
Two hardware-based approaches for deterministic multiprocessor replay
-
HOWER, D. R.,MONTESINOS, P., CEZE, L., HILL, M. D., AND TORRELLAS, J. 2009. Two hardware-based approaches for deterministic multiprocessor replay. Comm. ACM 52, 93-100.
-
(2009)
Comm. ACM
, vol.52
, pp. 93-100
-
-
Hower, R.1
Montesinos, D.P.2
Ceze, L.3
Hill, M.D.4
Torrellas, J.5
-
61
-
-
84878508733
-
-
INTEL TERAFLOPS. 2010
-
INTEL TERAFLOPS. 2010. http://www.intel.com/content/www/us/en/research/ intel-labsteraflops-researchchip.html.
-
-
-
-
64
-
-
23144447778
-
Immunity-based systems: A design perspective
-
Springer
-
ISHIDA, Y. 2004. Immunity-Based Systems: A Design Perspective. Advanced Information Processing. Springer.
-
(2004)
Advanced Information Processing
-
-
Ishida, Y.1
-
65
-
-
16244392741
-
4T-decay sensors: A new class of small, fast, robust, and low-power, temperature/leakage sensors
-
ACM, New York, NY
-
KAXIRAS, S. AND XEKALAKIS, P. 2004. 4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. In Proceedings of the International Symposium on Low Power Electronics and design (ISLPED'04). ACM, New York, NY, 108-113.
-
(2004)
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED'04)
, pp. 108-113
-
-
Kaxiras, S.1
Xekalakis, P.2
-
66
-
-
10044250225
-
Self-adaptive and self-optimising resource monitoring for dynamic grid environments
-
KEUNG, H. N. L. C., DYSON, J. R. D., JARVIS, S. A., AND NUDD, G. R. 2004. Self-adaptive and self-optimising resource monitoring for dynamic grid environments. In Proceedings of the International Workshop on Database and Expert Systems Applications. 689-693.
-
(2004)
Proceedings of the International Workshop on Database and Expert Systems Applications
, pp. 689-693
-
-
Keung, H.N.L.C.1
Dyson, J.R.D.2
Jarvis, S.A.3
Nudd, G.R.4
-
68
-
-
41549122836
-
Silicon odometer: An on-chip reliability monitor for measuring frequency degradation ofdigital circuits
-
KIM, T.-H., PERSAUD, R., AND KIM, C. H. 2008. Silicon odometer: An on-chip reliability monitor for measuring frequency degradation ofdigital circuits. IEEE J. Solid-State Circuits 43, 4, 874-880.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.4
, pp. 874-880
-
-
Kim, T.-H.1
Persaud, R.2
Kim, C.H.3
-
69
-
-
84863832368
-
Recent thermal management techniques for microprocessors
-
KONG, J., CHUNG, S. W., AND SKADRON, K. 2012. Recent thermal management techniques for microprocessors. ACM Comput. Surv. 44, 3 13:1-13:42.
-
(2012)
ACM Comput. Surv
, vol.44
, Issue.3
, pp. 131-1342
-
-
Kong, J.1
Chung, S.W.2
Skadron, K.3
-
71
-
-
35248849334
-
Performance monitor unit design for an axi-based multi-core soc platform
-
ACM, New York, NY
-
KYUNG, H.-M., PARK, G.-H., KWAK, J. W., JEONG, W., KIM, T.-J., AND PARK, S.-B. 2007. Performance monitor unit design for an axi-based multi-core soc platform. In Proceedings of the ACM Symposium on Applied Computing (SAC'07). ACM, New York, NY, 1565-1572.
-
(2007)
Proceedings of the ACM Symposium on Applied Computing (SAC'07)
, pp. 1565-1572
-
-
Kyung, H.-M.1
Park, G.-H.2
Kwak, J.W.3
Jeong, W.4
Kim, T.-J.5
Park, S.-B.6
-
73
-
-
27844542862
-
An embedded debugging architecture for socs
-
Leatherman R, Stollon N. 2005. An Embedded Debugging Architecture For Socs. Ieee Potentials 24, 1, 12-16
-
(2005)
IEEE Potentials
, vol.24
, Issue.1
, pp. 12-16
-
-
Leatherman, R.R.1
Stollon, N.2
-
74
-
-
51149097921
-
Thermal monitoring mechanisms for chip multiprocessors
-
LONG, J., MEMIK, S. O., MEMIK, G., AND MUKHERJEE, R. 2008. Thermal monitoring mechanisms for chip multiprocessors. ACM Trans. Archit. Code Optim. 5, 9:1-9:33.
-
(2008)
ACM Trans. Archit. Code Optim
, vol.5
, pp. 91-933
-
-
Long, J.1
Memik, S.O.2
Memik, G.3
Mukherjee, R.4
-
75
-
-
21244456152
-
Feedback utilization control in distributed realtime systems with end-to-end tasks
-
LU, C.,WANG, X., AND KOUTSOUKOS, X. 2005. Feedback utilization control in distributed realtime systems with end-to-end tasks. IEEE Trans. Parallel Distrib. Syst. 16, 550-561.
-
(2005)
IEEE Trans. Parallel Distrib. Syst
, vol.16
, pp. 550-561
-
-
Wang, X.L.U.1
Koutsoukos, X.2
-
76
-
-
33745304805
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
LUK, C.-K., COHN, R.,MUTH, R., PATIL, H.,KLAUSER, A., LOWNEY, G., WALLACE, S.,REDDI, V. J., AND HAZELWOOD, K. 2005. Pin: building customized program analysis tools with dynamic instrumentation. SIGPLAN Not. 40, 190-200.
-
(2005)
SIGPLAN Not
, vol.40
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
77
-
-
84878504897
-
Hierarchical multi-agent protection system for noc based mpsocs
-
ACM, New York, NY
-
LUKOVIC, S. AND CHRISTIANOS, N. 2010. Hierarchical multi-agent protection system for noc based mpsocs. In Proceedings of the International Workshop on Security and Dependability for Resource Constrained Embedded Systems (S&D4RCES'10). ACM, New York, NY, 6:1-6:7.
-
(2010)
Proceedings of the International Workshop on Security and Dependability for Resource Constrained Embedded Systems (S&D4RCES'10)
, pp. 61-67
-
-
Lukovic, S.1
Christianos, N.2
-
78
-
-
79951605827
-
Enabling dynamic and programmable qos in socs
-
ACM, New York, NY
-
MANGANO, D. AND STRANO, G. 2010. Enabling dynamic and programmable qos in socs. In Proceedings of the 3rd International Workshop on Network on Chip Architectures (NoCArc'10). ACM, New York, NY, 17-22.
-
(2010)
Proceedings of the 3rd International Workshop on Network on Chip Architectures (NoCArc'10)
, pp. 17-22
-
-
Mangano, D.1
Strano, G.2
-
79
-
-
34547326027
-
Introducing the supergt network-on-chip: Supergt qos: More than just gt
-
ACM, New York, NY
-
MARESCAUX, T. AND CORPORAAL, H. 2007. Introducing the supergt network-on-chip: Supergt qos: more than just gt. In Proceedings of the 44th Annual Design Automation Conference (DAC'07). ACM, New York, NY, 116-121.
-
(2007)
Proceedings of the 44th Annual Design Automation Conference (DAC'07)
, pp. 116-121
-
-
Marescaux, T.1
Corporaal, H.2
-
80
-
-
84948992629
-
Cherry: Checkpointed early resource recycling in out-of-order microprocessors
-
IEEE
-
MARTINEZ, J. F., RENAU, J., HUANG, M. C., PRVULOVIC, M., AND TORRELLAS, J. 2002. Cherry: Checkpointed early resource recycling in out-of-order microprocessors. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE, 3-14.
-
(2002)
Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 3-14
-
-
Martinez, J.F.1
Renau, J.2
Huang, M.C.3
Prvulovic, M.4
Torrellas, J.5
-
81
-
-
3342966061
-
The ganglia distributed monitoring system: Design, implementation, and experience
-
MASSIE, M. L., CHUN, B. N., AND CULLER, D. E. 2004. The ganglia distributed monitoring system: design, implementation, and experience. Parallel Comput. 30, 5-6, 817-840.
-
(2004)
Parallel Comput
, vol.30
, Issue.5-6
, pp. 817-840
-
-
Massie, M.L.1
Chun, B.N.2
Culler, D.E.3
-
82
-
-
31344454872
-
Power and temperature control on a 90-nm itanium family processor
-
MCGOWEN, R., POIRIER, C. A., BOSTAK, C., IGNOWSKI, J., MILLICAN, M., PARKS, W. H., AND NAFFZIGER, S. 2006. Power and temperature control on a 90-nm itanium family processor. IEEE J. Solid-State Circuits 41, 1, 229-237.
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.1
, pp. 229-237
-
-
McGowen, R.1
Poirier, C.A.2
Bostak, C.3
Ignowski, J.4
Millican, M.5
Parks, W.H.6
Naffziger, S.7
-
83
-
-
63549102138
-
Multi-optimization power management for chip multiprocessors
-
ACM, New York, NY
-
MENG, K., JOSEPH, R., DICK, R. P., AND SHANG, L. 2008. Multi-optimization power management for chip multiprocessors. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT'08). ACM, New York, NY, 177-186.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT'08)
, pp. 177-186
-
-
Meng, K.1
Joseph, R.2
Dick, R.P.3
Shang, L.4
-
85
-
-
29144520205
-
Hardware support for code integrity in embedded processors
-
ACM, New York, NY
-
MILENKOVIC, M., MILENKOVIC, A., AND JOVANOV, E. 2005. Hardware support for code integrity in embedded processors. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05). ACM, New York, NY, 55-65.
-
(2005)
Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05)
, pp. 55-65
-
-
Milenkovic, M.1
Milenkovic, A.2
Jovanov, E.3
-
86
-
-
34547155747
-
Signature-based workload estimation for mobile 3d graphics
-
ACM, New York, NY
-
MOCHOCKI, B. C., LAHIRI, K., CADAMBI, S., AND HU, X. S. 2006. Signature-based workload estimation for mobile 3d graphics. In Proceedings of the 43rd Annual Design Automation Conference (DAC'06). ACM, New York, NY, 592-597.
-
(2006)
Proceedings of the 43rd Annual Design Automation Conference (DAC'06)
, pp. 592-597
-
-
Mochocki, B.C.1
Lahiri, K.2
Cadambi, S.3
-
87
-
-
77956218120
-
An efficient dynamically reconfigurable on-chip network architecture
-
ACM, New York, NY
-
MODARRESSI, M., SARBAZI-AZAD, H., AND TAVAKKOL, A. 2010. An efficient dynamically reconfigurable on-chip network architecture. In Proceedings of the 47th Design Automation Conference (DAC'10). ACM, New York, NY, 166-169.
-
(2010)
Proceedings of the 47th Design Automation Conference (DAC'10)
, pp. 166-169
-
-
Modarressi, M.1
Sarbazi-Azad, H.2
Tavakkol, A.3
-
88
-
-
0034825054
-
JETTY: Filtering snoops for reduced energy consumption in SMP servers
-
IEEE
-
MOSHOVOS, A., MEMIK, G., CHOUDHARY, A., AND FALSAFI, B. 2001. JETTY: Filtering snoops for reduced energy consumption in SMP servers. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA'01). IEEE, 85.
-
(2001)
Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA'01)
, pp. 85
-
-
Moshovos, A.1
Memik, G.2
Choudhary, A.3
Falsafi, B.4
-
91
-
-
27344448860
-
Analysis of error recovery schemes for networks on chips
-
MURALI, S., THEOCHARIDES, T., VIJAYKRISHNAN, N., IRWIN, M. J., BENINI, L., AND MICHELI, G. D. 2005. Analysis of error recovery schemes for networks on chips. IEEE Des. Test 22, 434-442.
-
(2005)
IEEE Des. Test
, vol.22
, pp. 434-442
-
-
Murali, S.1
Theocharides, T.2
Vijaykrishnan, N.3
Irwin, M.J.4
Benini, L.5
Micheli, G.D.6
-
92
-
-
4544387534
-
An architectural framework for providing reliability and security support
-
IEEE
-
NAKKA, N., KALBARCZYK, Z., IYER, R. K., AND XU, J. 2004. An architectural framework for providing reliability and security support. In Proceedings of the International Conference on Dependable Systems and Networks (DSN'04). IEEE, 585.
-
(2004)
Proceedings of the International Conference on Dependable Systems and Networks (DSN'04)
, pp. 585
-
-
Nakka, N.1
Kalbarczyk, Z.2
Iyer, R.K.3
-
94
-
-
4444294771
-
Operating-system controlled network on chip
-
ACM, New York, NY
-
NOLLET, V., MARESCAUX, T., VERKEST, D., MIGNOLET, J.-Y., AND VERNALDE, S. 2004. Operating-system controlled network on chip. In Proceedings of the 41st Annual Design Automation Conference (DAC'04). ACM, New York, NY, 256-259.
-
(2004)
Proceedings of the 41st Annual Design Automation Conference (DAC'04)
, pp. 256-259
-
-
Nollet, V.1
Marescaux, T.2
Verkest, D.3
Mignolet, J.-Y.4
Vernalde, S.5
-
95
-
-
77956204839
-
Thermal monitoring of real processors: Techniques for sensor allocation and full characterization
-
ACM, New York, NY
-
NOWROZ, A. N., COCHRAN, R., AND REDA, S. 2010. Thermal monitoring of real processors: techniques for sensor allocation and full characterization. In Proceedings of the 47th Design Automation Conference (DAC'10). ACM, New York, NY, 56-61.
-
(2010)
Proceedings of the 47th Design Automation Conference (DAC'10)
, pp. 56-61
-
-
Nowroz, A.N.1
Cochran, R.2
Reda, S.3
-
96
-
-
84878515047
-
-
NVIDIA TEGRA 3. 2012
-
NVIDIA TEGRA 3. 2012. www.nvidia.com/object/tegra-superchip.html.
-
-
-
-
97
-
-
67650310833
-
Passive end-to-end packet loss estimation for grid traffic monitoring
-
PAPADOGIANNAKIS, A., KAPRAVELOS, A., POLYCHRONAKIS, M., MARKATOS, E. P., AND CIUFFOLETTI, A. 2006. Passive end-to-end packet loss estimation for grid traffic monitoring. In Proceedings of the CoreGRID Integration Workshop.
-
(2006)
Proceedings of the CoreGRID Integration Workshop
-
-
Papadogiannakis, A.1
Kapravelos, A.2
Polychronakis, M.3
Markatos, E.P.4
Ciuffoletti, A.5
-
98
-
-
75749103821
-
Post-silicon bug localization for processors using IFRA
-
PARK, S.-B. AND MITRA, S 2010. Post-silicon bug localization for processors using IFRA. Comm. ACM 53, 2, 106-113.
-
(2010)
Comm. ACM
, vol.53
, Issue.2
, pp. 106-113
-
-
Park, S.-B.1
Mitra, S.2
-
99
-
-
33846934999
-
Qos concept for scalable MPEG-4 video object decoding on multimedia (NoC) chips
-
PASTRNAK, M., H. N. DE WITH, P., AND MEERBERGEN, J. V. 2006. Qos concept for scalable MPEG-4 video object decoding on multimedia (NoC) chips. IEEE Trans. Consum. Electron. 52, 1418-1426.
-
(2006)
IEEE Trans. Consum. Electron
, vol.52
, pp. 1418-1426
-
-
Pastrnak, M.1
De With, P.H.N.2
Meerbergen, J.V.3
-
100
-
-
84878510227
-
-
Tech. rep. ORNL-TM-2009-145, Oak Ridge National Laboratury
-
POUCHARD, L., POOLE, S., LOTHIAN, J., AND GROER, C. 2009. Open standards for sensor information processing. Tech. rep. ORNL-TM-2009-145, Oak Ridge National Laboratury.
-
(2009)
Open Standards for Sensor Information Processing
-
-
Pouchard, L.1
Poole, S.2
Lothian, J.3
Groer, C.4
-
102
-
-
0036290620
-
Revive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors
-
NVIDIA TEGRA 3. 2012
-
PRVULOVIC, M., ZHANG, Z., AND TORRELLAS, J. 2002. Revive: cost-effective architectural support for rollback recovery in shared-memory multiprocessors. In Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA'02). IEEE, 111-122. TEGRA 3. 2012. www.nvidia.com/object/tegra-superchi
-
(2002)
Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA'02) IEEE
, pp. 111-122
-
-
Prvulovic, M.1
Zhang, Z.2
Torrellas, J.3
-
103
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
IEEE
-
QURESHI, M. K. AND PATT, Y. N. 2006. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 423-432.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
104
-
-
84878501389
-
Methods for fault tolerance in networks on chip
-
RADETZKI, M., FENG, C., ZHAO, X., AND JANTSCH, A. 2012. Methods for fault tolerance in networks on chip. ACM Comput. Surv. 44, 1-35.
-
(2012)
ACM Comput. Surv
, vol.44
, pp. 1-35
-
-
Radetzki, M.1
Feng, C.2
Zhao, X.3
Jantsch, A.4
-
105
-
-
29144504426
-
Micro embedded monitoring for security in application specific instruction-set processors
-
ACM, New York, NY
-
RAGEL, R. G., PARAMESWARAN, S., AND KIA, S. M. 2005. Micro embedded monitoring for security in application specific instruction-set processors. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05). ACM, New York, NY, 304-314.
-
(2005)
Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES'05)
, pp. 304-314
-
-
Ragel, R.G.1
Parameswaran, S.2
Kia, S.M.3
-
106
-
-
70349735953
-
Phoenix: A self adaptable monitoring platform for cluster management
-
SAAB, C. B., BONNAIRE, X., AND FOLLIOT, B. 2002. Phoenix: A self adaptable monitoring platform for cluster management. Cluster Comput. 5, 1, 75-85.
-
(2002)
Cluster Comput
, vol.5
, Issue.1
, pp. 75-85
-
-
Saab, C.B.1
Bonnaire, X.2
Folliot, B.3
-
108
-
-
52249099896
-
Next-generation performance counters: Towards monitoring over thousand concurrent events
-
IEEE
-
SALAPURA, V., GANESAN, K., GARA, A., GSCHWIND, M., SEXTON, J. C., AND WALKUP, R. E. 2008. Next-generation performance counters: Towards monitoring over thousand concurrent events. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'08). IEEE, 139-146.
-
(2008)
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'08)
, pp. 139-146
-
-
Salapura, V.1
Ganesan, K.2
Gara, A.3
Gschwind, M.4
Sexton, J.C.5
Walkup, R.E.6
-
109
-
-
77950267881
-
A survey of online failure prediction methods
-
SALFNER, F., LENK, M., AND MALEK, M. 2010. A survey of online failure prediction methods. ACM Comput. Surv. 42, 3, 10:1-10:42.
-
(2010)
ACM Comput. Surv
, vol.52
, Issue.3
, pp. 101-1042
-
-
Salfner, F.1
Lenk, M.2
Malek, M.3
-
110
-
-
0034850489
-
Rapid profiling via stratified sampling
-
SASTRY, S. S., BODIK, R., AND SMITH, J. E. 2001. Rapid profiling via stratified sampling. SIGARCH Comput. Archit. News 29, 278-289.
-
(2001)
SIGARCH Comput. Archit. News
, vol.29
, pp. 278-289
-
-
Sastry, S.S.1
Bodik, R.2
Smith, J.E.3
-
111
-
-
77957659542
-
Adaptivity and self-organization in organic computing systems
-
SCHMECK, H., MULLER-SCHLOER, C.,C AKAR, E.,MNIF, M., AND RICHTER, U. 2010. Adaptivity and self-organization in organic computing systems. ACM Trans. Auton. Adapt. Syst. 5, 3, 10:1-10:32.
-
(2010)
ACM Trans. Auton. Adapt. Syst.
, vol.5
, Issue.3
, pp. 101-1032
-
-
Schmeck, H.1
Muller-Schloer, C.C.2
Akar, E.3
Mnif, M.4
Richter, U.5
-
112
-
-
77953093269
-
Feedback control for providing QoS in NoC-based multicores
-
European Design and Automation Association
-
SHARIFI, A., ZHAO, H., AND KANDEMIR, M. 2010. Feedback control for providing QoS in NoC-based multicores. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'10). European Design and Automation Association, 1384-1389.
-
(2010)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'10)
, pp. 1384-1389
-
-
Sharifi, A.1
Zhao, H.2
Kandemir, M.3
-
113
-
-
51749087112
-
An integrated framework for dependable and revivable architectures using multicore processors
-
SHI, W., LEE, H.-H. S., 'FALK, L., AND GHOSH, M. 2006. An integrated framework for dependable and revivable architectures using multicore processors. SIGARCH Comput. Archit. News 34, 2, 102-113.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.2
, pp. 102-113
-
-
Shi, W.1
Lee, H.-H.S.2
Falk, L.3
Ghosh, M.4
-
114
-
-
77956030768
-
Temperature-aware energy minimization technique through dynamic voltage frequency scaling for embedded systems
-
SHU, L. AND LI, X. 2010. Temperature-aware energy minimization technique through dynamic voltage frequency scaling for embedded systems. In Proceedings of the 2nd International Conference on Education Technology and Comput. Vol. 2. V2-515-V2-519.
-
(2010)
Proceedings of the 2nd International Conference on Education Technology and Comput. Vol
, vol.2
-
-
Shu, L.1
-
115
-
-
84863981924
-
Compact degradation sensors for monitoring nbti and oxide degradation
-
SINGH, P., KARL, E., BLAAUW, D., AND SYLVESTER, D. 2012. Compact degradation sensors for monitoring nbti and oxide degradation. IEEE Trans. VLSI Syst. 20, 9, 1645-1655.
-
(2012)
IEEE Trans. VLSI Syst.
, vol.20
, Issue.9
, pp. 1645-1655
-
-
Singh, P.1
Karl, E.2
Blaauw, D.3
Sylvester, D.4
-
117
-
-
85009352442
-
Temperatureaware microarchitecture: Modeling and implementation
-
SKADRON, K., STAN, M. R., SANKARANARAYANAN, K.,HUANG, W., VELUSAMY, S., AND TARJAN, D. 2004. Temperatureaware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optim. 1, 1, 94-125.
-
(2004)
ACM Trans. Architect. Code Optim
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Stan, M.R.2
Sankaranarayanan, K.3
Huang, W.4
Velusamy, S.5
Tarjan, D.6
-
118
-
-
12844278588
-
Fingerprinting: Bounding soft-error detection latency and bandwidth
-
ACM New York NY
-
SMOLENS, J. C.,GOLD, B.T., KIM, J., FALSAFI, B.,HOE, J. C., AND NOWATZYK, A. G. 2004. Fingerprinting: bounding soft-error detection latency and bandwidth. In Proceedings of the 11th International Conference of Architectural Support for Programming languages and opereating Systems. ACM New York, NY, 224-234.
-
(2004)
Proceedings of the 11th International Conference of Architectural Support for Programming languages and opereating Systems
, pp. 224-234
-
-
Smolens, J.C.1
Gold, B.T.2
Kim, J.3
Falsafi, B.4
Hoe, J.C.5
Nowatzyk, A.G.6
-
119
-
-
0036653890
-
The basics of performance-monitoring hardware
-
SPRUNT, B. 2002. The basics of performance-monitoring hardware. IEEE Micro 22, 64-71.
-
(2002)
IEEE Micro
, vol.22
, pp. 64-71
-
-
Sprunt, B.1
-
121
-
-
12844267418
-
Secure program execution via dynamic information flow tracking
-
SUH, G. E., LEE, J. W., ZHANG, D., AND DEVADAS, S. 2004. Secure program execution via dynamic information flow tracking. SIGARCH Comput. Archit. News 32, 85-96.
-
(2004)
SIGARCH Comput. Archit. News
, vol.32
, pp. 85-96
-
-
Suh, G.E.1
Lee, J.W.2
Zhang, D.3
Devadas, S.4
-
122
-
-
85093843527
-
Using hardware performance monitors to understand the behavior of java applications
-
USENIX Association, Berkeley, CA
-
SWEENEY, P. F., HAUSWIRTH, M., CAHOON, B., CHENG, P., DIWAN, A., GROVE, D., AND HIND, M. 2004. Using hardware performance monitors to understand the behavior of java applications. In Proceedings of the 3rd Conference on Virtual Machine Research and Technology Symposium, USENIX Association, Berkeley, CA, 5.
-
(2004)
Proceedings of the 3rd Conference on Virtual Machine Research and Technology Symposium
, pp. 5
-
-
Sweeney, P.F.1
Hauswirth, M.2
Cahoon, B.3
Cheng, P.4
Diwan, A.5
Grove, D.6
Hind, M.7
-
123
-
-
33846044638
-
ElastIC: An adaptive self-healing architecture for unpredictable silicon
-
SYLVESTER, D.,BLAAUW, D., AND KARL, E. 2006. ElastIC: An adaptive self-healing architecture for unpredictable silicon. IEEE Des. Test 23, 484-490.
-
(2006)
IEEE Des. Test
, vol.23
, pp. 484-490
-
-
Sylvester, D.1
Blaauw, D.2
Karl, E.3
-
124
-
-
72149083839
-
A monitoring and adaptive routing mechanism for qos traffic on mesh noc architectures
-
ACM, New York, NY
-
TEDESCO, L., CLERMIDY, F., AND MORAES, F. 2009. A monitoring and adaptive routing mechanism for qos traffic on mesh noc architectures. In Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'09.) ACM, New York, NY, 109-118.
-
(2009)
Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'09.)
, pp. 109-118
-
-
Tedesco, L.1
Clermidy, F.2
Moraes, F.3
-
125
-
-
84878499021
-
-
TILERA CORPORATION. 2009. The TILE64 chip
-
TILERA CORPORATION. 2009. The TILE64 chip. www.tilera.com.
-
-
-
-
126
-
-
77952226243
-
A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance
-
TSCHANZ, J., BOWMAN, K., LU, S.-L., ASERON, P., KHELLAH, M., RAYCHOWDHURY, A., GEUSKENS, B., TOKUNAGA, C., WILKERSON, C.,KARNIK, T., ANDDE, V. 2010. A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance. In Proceedings of the IEEE International Solid-State Circuits Conference (Digest of Technical Papers) (ISSCC). 282-283.
-
(2010)
Proceedings of the IEEE International Solid-State Circuits Conference (Digest of Technical Papers) (ISSCC)
, pp. 282-282
-
-
Tschanz, J.1
Bowman, K.2
Aseron, P.3
Khellah, M.4
Raychowdhury, A.5
Geuskens, B.6
Tokunaga, C.7
Wilkerson, C.8
Karnik, T.9
Andde, V.10
-
127
-
-
76349090420
-
Resilient circuits: Enabling energyefficient performance and reliabilitys
-
ACM, New York, NY
-
TSCHANZ, J., BOWMAN, K., WILKERSON, C., LU, S.-L., AND KARNIK, T. 2009. Resilient circuits: enabling energyefficient performance and reliability. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'09). ACM, New York, NY, 71-73.
-
(2009)
Proceedings of the International Conference on Computer-Aided Design (ICCAD'09)
, pp. 71-73
-
-
Tschanz, J.1
Bowman, K.2
Wilkerson, C.3
Karnik, T.4
-
128
-
-
34548308825
-
Congestion-controlled best-effort communication for networks-on-chip
-
EDA Consortium, San Jose, CA
-
VAN DEN BRAND, J.W., CIORDAS, C., GOOSSENS, K., AND BASTEN, T. 2007. Congestion-controlled best-effort communication for networks-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07). EDA Consortium, San Jose, CA, 948-953.
-
(2007)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'07)
, pp. 948-953
-
-
Van Den Brand, J.W.1
Ciordas, C.2
Goossens, K.3
Basten, T.4
-
129
-
-
77958120253
-
Debugging multi-core systems on chip
-
G. Kornaros, Ed., CRC Press, Taylor & Francis Group
-
VERMEULEN, B. AND GOOSSENS, K. 2010. Debugging multi-core systems on chip. In Multi-Core Embedded Systems, G. Kornaros, Ed., CRC Press, Taylor & Francis Group, 153-198.
-
(2010)
Multi-Core Embedded Systems
, pp. 153-198
-
-
Vermeulen, B.1
Goossens, K.2
-
130
-
-
80455130016
-
Power gating strategies on GPUs
-
WANG, P.-H., YANG, C.-L., CHEN, Y.-M., AND CHENG, Y.-J. 2011. Power gating strategies on GPUs. ACM Trans. Archit. Code Optim. 8, 3, 13:1-13:25.
-
(2011)
ACM Trans. Archit. Code Optim
, vol.8
, Issue.3
, pp. 131-1325
-
-
Wang, P.-H.1
Yang, C.-L.2
Chen, Y.-M.3
Cheng, Y.-J.4
-
131
-
-
70450260876
-
Temperature-constrained power control for chip multiprocessors with online model estimation
-
ACM, New York, NY
-
WANG, Y.,MA, K., AND WANG, X. 2009. Temperature-constrained power control for chip multiprocessors with online model estimation. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09). ACM, New York, NY, 314-324.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09)
, pp. 314-324
-
-
Wang, Y.1
Ma, K.2
Wang, X.3
-
133
-
-
67650799150
-
Mixed-mode multicore reliability
-
ACM, New York, NY
-
WELLS, P. M., CHAKRABORTY, K., AND SOHI, G. S. 2009. Mixed-mode multicore reliability. In Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'09). ACM, New York, NY, 169-180.
-
(2009)
Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'09)
, pp. 169-180
-
-
Wells, P.M.1
Chakraborty, K.2
Sohi, G.S.3
-
134
-
-
84855418358
-
NUDA: A non-uniform debugging architecture and nonintrusive race detection for many-core systems
-
WEN, C.-N., CHOU, S.-H., CHEN, C.-C., AND CHEN, T.-F. 2012. NUDA: A non-uniform debugging architecture and nonintrusive race detection for many-core systems. IEEE Trans. Comput. 61, 2, 199-212.
-
(2012)
IEEE Trans. Comput.
, vol.61
, Issue.2
, pp. 199-212
-
-
Wen, C.-N.1
Chou, S.-H.2
Chen, C.-C.3
Chen, T.-F.4
-
135
-
-
84878516239
-
-
XILINX CHIPSCOPE
-
XILINX CHIPSCOPE. www.xilinx.com/tools/cspro.htm.
-
-
-
-
136
-
-
0038684791
-
A flight data recorder for enabling full-system multiprocessor deterministic replay
-
ACM, New York, NY
-
XU, M., BODIK, R., AND HILL, M. D. 2003. A flight data recorder for enabling full-system multiprocessor deterministic replay. In Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA'03). ACM, New York, NY, 122-135.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA'03)
, pp. 122-135
-
-
Bodik, R.1
Hill, M.D.2
-
137
-
-
63649129051
-
Online adaptive utilization control for real-time embedded multiprocessor systems
-
ACM, New York, NY
-
YAO, J., LIU, X., YUAN, M., AND GU, Z. 2008. Online adaptive utilization control for real-time embedded multiprocessor systems. In Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. (CODES+ISSS'08). ACM, New York, NY, 85-90.
-
(2008)
Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. (CODES+ISSS'08)
, pp. 85-90
-
-
Yao, J.1
Liu, X.2
Yuan, M.3
-
138
-
-
0031539252
-
System support for automatic profiling and optimization
-
ZHANG, X.,WANG, Z., GLOY, N., CHEN, J. B., AND SMITH, M. D. 1997. System support for automatic profiling and optimization. SIGOPS Operating Syst. Rev. 31, 5, 15-26.
-
(1997)
SIGOPS Operating Syst. Rev
, vol.31
, Issue.5
, pp. 15-26
-
-
Zhang, X.1
Wang, Z.2
Gloy, N.3
Chen, J.B.4
Smith, M.D.5
-
139
-
-
79961007563
-
Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases
-
ZHANG, Y. AND SRIVASTAVA, A. 2011. Accurate temperature estimation using noisy thermal sensors for Gaussian and non-Gaussian cases. IEEE Trans. VLSI Syst. 19, 9, 1617-1626.
-
(2011)
IEEE Trans. VLSI Syst
, vol.19
, Issue.9
, pp. 1617-1626
-
-
Zhang, Y.1
Srivastava, A.2
-
140
-
-
84857372068
-
A dedicated monitoring infrastructure for multicore processors
-
ZHAO, J.,MADDURI, S., VADLAMANI, R., BURLESON,W., AND TESSIER, R. 2011. A dedicated monitoring infrastructure for multicore processors. IEEE Trans VLSI Syst. 19, 6, 1011-1022.
-
(2011)
IEEE Trans VLSI Syst.
, vol.19
, Issue.6
, pp. 1011-1022
-
-
Zhao, J.1
Madduri, S.2
Vadlamani, R.3
Burleson, W.4
Tessier, R.5
-
141
-
-
34547665090
-
Hard: Hardware-assisted lockset-based race detection
-
IEEE
-
ZHOU, P., TEODORESCU, R., AND ZHOU, Y. 2007. Hard: Hardware-assisted lockset-based race detection. In Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture. IEEE, 121-132. .
-
(2007)
Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture
, pp. 121-132
-
-
Zhou, P.1
Teodorescu, R.2
Zhou, Y.3
|