-
2
-
-
0036398199
-
From ASIC to ASIP: The next design discontinuity
-
K. Keutzer, S. Malik, and A. R. Newton, "From ASIC to ASIP: the next design discontinuity," in Proc. Int. Conf. Comput. Des. (ICCD), 2002, pp. 84-90.
-
(2002)
Proc. Int. Conf. Comput. Des. (ICCD)
, pp. 84-90
-
-
Keutzer, K.1
Malik, S.2
Newton, A.R.3
-
3
-
-
52649093079
-
-
Tensilica Inc, Online, Available
-
Tensilica Inc., Santa Clara, CA, "Xtensa LX2 processor," 2008 [Online]. Available: www.tensilica.com
-
(2008)
Xtensa LX2 processor
-
-
Santa Clara, C.A.1
-
4
-
-
0012183245
-
-
ARC International, Online, Available
-
ARC International, Charlotte, NC, "ARCtangent processor," 2008 [Online]. Available: www.ARCInternational.com
-
(2008)
ARCtangent processor
-
-
Charlotte, N.C.1
-
5
-
-
52649171611
-
-
CoWare Inc, Online, Available
-
CoWare Inc., San Jose, CA, "LISATek," 2008 [Online]. Available: www.coware.com
-
(2008)
LISATek
-
-
San Jose, C.A.1
-
6
-
-
52649152541
-
-
Target, The ASIP Corp., Boulder, CO, Target compiler, 2008 [Online]. Available: http://www.retarget.com
-
Target, The ASIP Corp., Boulder, CO, "Target compiler," 2008 [Online]. Available: http://www.retarget.com
-
-
-
-
7
-
-
34548316215
-
Design space exploration of partially re-configurable embedded processors
-
A. Chattopadhyay, W. Ahmed, K. Karari, D. Kammler, R. Leupers, G. Ascheid, and H. Meyr, "Design space exploration of partially re-configurable embedded processors," in Proc. Conf. Des., Autom., Test (DATE), 2007, pp. 319-324.
-
(2007)
Proc. Conf. Des., Autom., Test (DATE)
, pp. 319-324
-
-
Chattopadhyay, A.1
Ahmed, W.2
Karari, K.3
Kammler, D.4
Leupers, R.5
Ascheid, G.6
Meyr, H.7
-
8
-
-
38849103565
-
An efficient framework for dynamic reconfiguration of instruction-set customization
-
H. P. Huynh, J. E. Sim, and T. Mitra, "An efficient framework for dynamic reconfiguration of instruction-set customization," in Proc. CASES, 2007, pp. 135-144.
-
(2007)
Proc. CASES
, pp. 135-144
-
-
Huynh, H.P.1
Sim, J.E.2
Mitra, T.3
-
9
-
-
84893735242
-
Rapid configuration & instruction selection for an asip: A case study
-
N. Cheung, J. Henkel, and S. Parameswaran, "Rapid configuration & instruction selection for an asip: A case study," in Proc. Conf. Design, Autom., Test (DATE), 2003, pp. 802-807.
-
(2003)
Proc. Conf. Design, Autom., Test (DATE)
, pp. 802-807
-
-
Cheung, N.1
Henkel, J.2
Parameswaran, S.3
-
10
-
-
0042635850
-
Automatic application-specific instruction-set extensions under microarchitectural constraints
-
K. Atasu, L. Pozzi, and P. Ienne, "Automatic application-specific instruction-set extensions under microarchitectural constraints," in Proc. Des. Autom. Conf. (DAC), 2003, pp. 256-261.
-
(2003)
Proc. Des. Autom. Conf. (DAC)
, pp. 256-261
-
-
Atasu, K.1
Pozzi, L.2
Ienne, P.3
-
11
-
-
0348040125
-
A scalable application specific processor synthesis methodology
-
F. Sun, A. Raghunathan, S. Ravi, and N. K. Jha, "A scalable application specific processor synthesis methodology," in Proc. Int. Conf. Comput. Aided Des. (ICCAD), 2003, pp. 283-290.
-
(2003)
Proc. Int. Conf. Comput. Aided Des. (ICCAD)
, pp. 283-290
-
-
Sun, F.1
Raghunathan, A.2
Ravi, S.3
Jha, N.K.4
-
12
-
-
4444275354
-
Introduction of local memory elements in instruction set extensions
-
P. Biswas, V. Choudhary, K. Atasu, L. Pozzi, P. Ienne, and N. Dutt, "Introduction of local memory elements in instruction set extensions," in Proc. Des. Autom. Conf. (DAC), 2004, pp. 729-734.
-
(2004)
Proc. Des. Autom. Conf. (DAC)
, pp. 729-734
-
-
Biswas, P.1
Choudhary, V.2
Atasu, K.3
Pozzi, L.4
Ienne, P.5
Dutt, N.6
-
13
-
-
34547159262
-
Increasing hardware efficiency with multifunction loop accelerators
-
K. Fan, M. Kudlur, H. Park, and S. Mahlke, "Increasing hardware efficiency with multifunction loop accelerators," in Proc. Int. Conf. HW/SW Codes Syst. Synth. (CODES + ISSS), 2006, pp. 276-281.
-
(2006)
Proc. Int. Conf. HW/SW Codes Syst. Synth. (CODES + ISSS)
, pp. 276-281
-
-
Fan, K.1
Kudlur, M.2
Park, H.3
Mahlke, S.4
-
14
-
-
4444332421
-
Area-efficient instruction set synthesis for reconfigurable system-on-chip designs
-
P. Brisk, A. Kaplan, and M. Sarrafzadeh, "Area-efficient instruction set synthesis for reconfigurable system-on-chip designs," in Proc. Des. Autom. Conf. (DAC), 2004, pp. 395-400.
-
(2004)
Proc. Des. Autom. Conf. (DAC)
, pp. 395-400
-
-
Brisk, P.1
Kaplan, A.2
Sarrafzadeh, M.3
-
15
-
-
52649099172
-
-
ITU, Geneva, Switzerland, ITU-T H.324 specification, 2008 [Online]. Available: www.itu.int/rec/T-REC-H.324/en
-
ITU, Geneva, Switzerland, "ITU-T H.324 specification," 2008 [Online]. Available: www.itu.int/rec/T-REC-H.324/en
-
-
-
-
16
-
-
52649122062
-
-
ITU, Geneva, Switzerland, ITU-T H.264 reference software version JM 7.5b, [Online]. Available: http://iphome.hhi.de/suehring/tml/index.htm
-
ITU, Geneva, Switzerland, "ITU-T H.264 reference software version JM 7.5b," [Online]. Available: http://iphome.hhi.de/suehring/tml/index.htm)
-
-
-
-
17
-
-
0031339427
-
MediaBench: A tool for evaluating and synthesizing multimedia and communications systems
-
C. Lee, M. Potkonjak, and W. H. Mangione-Smith, "MediaBench: A tool for evaluating and synthesizing multimedia and communications systems," in Proc. MICRO, 1997, pp. 330-335.
-
(1997)
Proc. MICRO
, pp. 330-335
-
-
Lee, C.1
Potkonjak, M.2
Mangione-Smith, W.H.3
-
18
-
-
33845573958
-
A survey on dynamically reconfigurable processors
-
H. Amano, "A survey on dynamically reconfigurable processors," IEICE Trans. Commun., vol. E89-B, no. 12, pp. 3179-3187, 2006.
-
(2006)
IEICE Trans. Commun
, vol.E89-B
, Issue.12
, pp. 3179-3187
-
-
Amano, H.1
-
21
-
-
48149100243
-
Morpheus: Heterogeneous Reconfigurable Computing
-
F. Thoma, M. Kuhnle, P. Bonnot, E. M. Panainte, K. Bertels, S. Goller, A. Schneider, S. Guyetant, E. Schuler, K. D. Muller-Glaser, and J. Becker, "Morpheus: Heterogeneous Reconfigurable Computing," in Proc. FPL, 2007, pp. 409-414.
-
(2007)
Proc. FPL
, pp. 409-414
-
-
Thoma, F.1
Kuhnle, M.2
Bonnot, P.3
Panainte, E.M.4
Bertels, K.5
Goller, S.6
Schneider, A.7
Guyetant, S.8
Schuler, E.9
Muller-Glaser, K.D.10
Becker, J.11
-
22
-
-
21644435314
-
Application-specific processing on a general-purpose core via transparent instruction set customization
-
N. Clark, M. Kudlue, P. Hyunchul, S. Mahlke, and K. Flautne, "Application-specific processing on a general-purpose core via transparent instruction set customization," in Proc. Int. Symp. Microarch. (MICRO), 2004, pp. 30-40.
-
(2004)
Proc. Int. Symp. Microarch. (MICRO)
, pp. 30-40
-
-
Clark, N.1
Kudlue, M.2
Hyunchul, P.3
Mahlke, S.4
Flautne, K.5
-
23
-
-
52649178545
-
-
Stretch Inc, Online, Available
-
Stretch Inc., Sunnyvale, CA, "Stretch processor," 2008 [Online]. Available: www.stretchinc.com
-
(2008)
Stretch processor
-
-
Sunnyvale, C.A.1
-
24
-
-
34548060043
-
Architectural exploration of the ADRES coarse-grained reconfigurable array
-
F. Bouwens, M. Berekovic, A. Kanstein, and G. Gaydadjiev, "Architectural exploration of the ADRES coarse-grained reconfigurable array," in Proc. Appl. Reconfig. Comput. (ARC), 2007, pp. 1-13.
-
(2007)
Proc. Appl. Reconfig. Comput. (ARC)
, pp. 1-13
-
-
Bouwens, F.1
Berekovic, M.2
Kanstein, A.3
Gaydadjiev, G.4
-
25
-
-
0033703884
-
CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit
-
Z. A. Ye, A. Moshovos, S. Hauck, and P. Banerjee, "CHIMAERA: A high-performance architecture with a tightly-coupled reconfigurable functional unit," in Proc. ISCA, 2000, pp. 225-235.
-
(2000)
Proc. ISCA
, pp. 225-235
-
-
Ye, Z.A.1
Moshovos, A.2
Hauck, S.3
Banerjee, P.4
-
26
-
-
0242551725
-
-
A. Lodi, M. Toma, F. Campi, A. Cappelli, R. Guerrieri, and, A VLIW processor with reconfigurable instruction set for embedded applications, IEEE J. Solid-State Circuits, 38, no. 11, pp. 1876-1886, Nov. 2003.
-
A. Lodi, M. Toma, F. Campi, A. Cappelli, R. Guerrieri, and, "A VLIW processor with reconfigurable instruction set for embedded applications," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1876-1886, Nov. 2003.
-
-
-
-
27
-
-
34547261802
-
A case-study on multimedia applications for the XiRisc reconfigurable processor
-
C. Mucci, M. Bocchi, M. Toma, and F. Campi, "A case-study on multimedia applications for the XiRisc reconfigurable processor," in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), 2006, pp. 4859-4862.
-
(2006)
Proc. IEEE Int. Symp. Circuits Syst. (ISCAS)
, pp. 4859-4862
-
-
Mucci, C.1
Bocchi, M.2
Toma, M.3
Campi, F.4
-
28
-
-
8744241430
-
The MOLEN polymorphic processor
-
Nov
-
S. Vassiliadis, S. Wong, G. Gaydadjiev, K. Bertels, G. Kuzmanov, and E. M. Panainte, "The MOLEN polymorphic processor," IEEE Trans. Comput., vol. 53, no. 11, pp. 1363-1375, Nov. 2004.
-
(2004)
IEEE Trans. Comput
, vol.53
, Issue.11
, pp. 1363-1375
-
-
Vassiliadis, S.1
Wong, S.2
Gaydadjiev, G.3
Bertels, K.4
Kuzmanov, G.5
Panainte, E.M.6
-
29
-
-
0035014659
-
The effect of reconfigurable units in superscalar processors
-
J. E. Carrillo and P. Chow, "The effect of reconfigurable units in superscalar processors," in Proc. Int. Symp. FPGAs, 2001, pp. 141-150.
-
(2001)
Proc. Int. Symp. FPGAs
, pp. 141-150
-
-
Carrillo, J.E.1
Chow, P.2
-
30
-
-
33748420512
-
Warp processors
-
R. Lysecky, G. Stitt, and F. Vahid, "Warp processors," ACM Trans. Des Autom. Electron. Syst., vol. 11, pp. 659-681, 2006.
-
(2006)
ACM Trans. Des Autom. Electron. Syst
, vol.11
, pp. 659-681
-
-
Lysecky, R.1
Stitt, G.2
Vahid, F.3
-
31
-
-
52649154326
-
-
Online, Available
-
University of Michigan, Ann Arbor, MI, "MiBench," 2008 [Online]. Available: http://www.eecs.umich.edu/mibench/
-
(2008)
MiBench
-
-
Ann Arbor, M.I.1
-
33
-
-
0036382691
-
Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation
-
Z. Li and S. Hauck, "Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation," in Proc. Int. Symp. FPGAs, 2002, pp. 187-195.
-
(2002)
Proc. Int. Symp. FPGAs
, pp. 187-195
-
-
Li, Z.1
Hauck, S.2
-
34
-
-
34547249230
-
RISPP: Rotating instruction set processing platform
-
L. Bauer, M. Shafique, S. Kramer, and J. Henkel, "RISPP: Rotating instruction set processing platform," in Proc. DAC, 2007, pp. 791-796.
-
(2007)
Proc. DAC
, pp. 791-796
-
-
Bauer, L.1
Shafique, M.2
Kramer, S.3
Henkel, J.4
-
35
-
-
37049034470
-
A self-adaptive extensible embedded processor
-
L. Bauer, M. Shafique, D. Teufel, and J. Henkel, "A self-adaptive extensible embedded processor," in Proc. SASO, 2007, pp. 344-347.
-
(2007)
Proc. SASO
, pp. 344-347
-
-
Bauer, L.1
Shafique, M.2
Teufel, D.3
Henkel, J.4
-
36
-
-
34547446609
-
Quantitative analysis of embedded FPGA-architectures for arithmetic
-
T. von Sydow et al., "Quantitative analysis of embedded FPGA-architectures for arithmetic," in Proc. ASAP, 2006, pp. 125-131.
-
(2006)
Proc. ASAP
, pp. 125-131
-
-
von Sydow, T.1
-
37
-
-
52649123477
-
Partial reconfiguration
-
Xilinx Corp, Online, Available
-
Xilinx Corp., San Jose, CA, "Partial reconfiguration," 2008 [Online]. Available: http://toolbox.xilinx.com/docsan/xilinx8/de/dev/partial. pdf)
-
(2008)
-
-
-
38
-
-
47849130862
-
An optimized application architecture of the H.264 video encoder for application specific platforms
-
M. Shafique, L. Bauer, and J. Henkel, "An optimized application architecture of the H.264 video encoder for application specific platforms," ESTIMedia, pp. 119-124, 2007.
-
(2007)
ESTIMedia
, pp. 119-124
-
-
Shafique, M.1
Bauer, L.2
Henkel, J.3
|