-
1
-
-
77954016821
-
Graphics for the masses: A hardware rasterization architecture for mobile phones
-
ACM, New York, NY
-
AKENINE-MOLLER, T. AND STROM, J. 2003. Graphics for the masses: a hardware rasterization architecture for mobile phones. In Proceedings of the ACM SIGGRAPH International Conference on Computer Graphics and Interactive Techniques (SIGGRAPH'03). ACM, New York, NY, 801-808
-
(2003)
Proceedings of the ACM SIGGRAPH International Conference on Computer Graphics and Interactive Techniques (SIGGRAPH'03)
, pp. 801-808
-
-
Akenine-Moller, T.1
Strom, J.2
-
2
-
-
13944261948
-
Scene management models and overlap tests for tile-based rendering
-
Proceedings of the EUROMICRO Systems on Digital System Design, DSD 2004
-
ANTOCHI, I., JUURLINK, B., VASSILIADIS, S., AND LIUHA, P. 2004. Scene management models and overlap tests for tile-based rendering. In Proceedings of the EUROMICRO Symposium on Digital System Design (DSD'04). IEEE Computer Society, 424-431 (Pubitemid 40266706)
-
(2004)
Proceedings of the EUROMICRO Systems on Digital System Design, DSD 2004
, pp. 424-431
-
-
Antochi, I.1
Juurlink, B.2
Vassiliadis, S.3
Liuha, P.4
-
3
-
-
80455151165
-
-
BEYOND3D
-
BEYOND3D. 2008. Ati rv635 chip details. http://www.beyond3d.com/ resources/chip/127
-
(2008)
Ati Rv635 Chip Details
-
-
-
4
-
-
0032592096
-
Design challenges of technology scaling
-
BORKAR, S. 1999. Design challenges of technology scaling. IEEE Micro 19, 4, 23-29
-
(1999)
IEEE. Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
5
-
-
80455174933
-
-
536mb review
-
BUTLER, H. 2010. Nvidia geforce gtx 480 1,536mb review. http://www.bittech.net/hardware/2010/03/27/nvidiageforce- gtx-480-1-5gb-review/ 10
-
(2010)
Nvidia Geforce Gtx
, vol.480
, pp. 1
-
-
Butler, H.1
-
6
-
-
77954334329
-
Graphics pipeline performance
-
R. Fernando, Ed., Pearson Higher Education, Chapter
-
CEBENOYAN, C. 2004. Graphics pipeline performance. In GPU Gems: Programming Techniques, Tips and Tricks for Real-Time Graphics, R. Fernando, Ed., Pearson Higher Education, Chapter 28, 473-486
-
(2004)
GPU Gems: Programming Techniques, Tips and Tricks for Real-Time Graphics
, vol.28
, pp. 473-486
-
-
Cebenoyan, C.1
-
8
-
-
0031605475
-
Simple models of the impact of overlap in bucket rendering
-
ACM, New York, NY
-
CHEN, M., STOLL, G., IGEHY, H., PROUDFOOT, K., AND HANRAHAN, P. 1998. Simple models of the impact of overlap in bucket rendering. In Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware (HWWS'98). ACM, New York, NY, 105-112
-
(1998)
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware (HWWS'98)
, pp. 105-112
-
-
Chen, M.1
Stoll, G.2
Igehy, H.3
Proudfoot, K.4
Hanrahan, P.5
-
9
-
-
34547300599
-
On frame rate and player performance in first person shooter games
-
DOI 10.1007/s00530-007-0081-1
-
CLAYPOOL, K. AND CLAYPOOL, M. 2007. On frame rate and player performance in first person shooter games. Springer Multimedia Syst. J. 13, 1, 3-17 (Pubitemid 47150829)
-
(2007)
Multimedia Systems
, vol.13
, Issue.1
, pp. 3-17
-
-
Claypool, K.T.1
Claypool, M.2
-
10
-
-
0031360145
-
Architectural implications of hardware-accelerated bucket rendering on the pc
-
ACM, New York, NY
-
COX, M. AND BHANDARI, N. 1997. Architectural implications of hardware-accelerated bucket rendering on the pc. In Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware. ACM, New York, NY, 25-34
-
(1997)
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware
, pp. 25-34
-
-
Cox, M.1
Bhandari, N.2
-
11
-
-
33750834456
-
ATTILA: A cycle-level execution-driven simulator for modern GPU architectures
-
1620807, ISPASS 2006: IEEE International Symposium on Performance Analysis of Systems and Software, 2006
-
DEL BARRIO, V. M., GONZALEZ, C., ROCA, J., FERNANDEZ, A. 2006. Attila: a cycle-level executiondriven simulator for modern GPU architectures. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'06). IEEE Computer Society, 231-241 (Pubitemid 44711127)
-
(2006)
ISPASS 2006: IEEE International Symposium on Performance Analysis of Systems and Software, 2006
, vol.2006
, pp. 231-241
-
-
Del Barrio, V.M.1
Gonzalez, C.2
Roca, J.3
Fernandez, A.4
Espasa, R.5
-
12
-
-
84962299846
-
Evaluating run-time techniques for leakage power reduction
-
IEEE Computer Society
-
DUARTE, D., TSAI, Y-F., VIJAYKRISHNAN, N., AND IRWIN, M. J. 2002. Evaluating run-time techniques for leakage power reduction. In Proceedings of the Conference on Asia South Pacific Design Automation/VLSI Design (ASPDAC'02). IEEE Computer Society, 31-38
-
(2002)
Proceedings of the Conference on Asia South Pacific Design Automation/VLSI Design (ASPDAC'02)
, pp. 31-38
-
-
Duarte, D.1
Tsai, Y.-F.2
Vijaykrishnan, N.3
Irwin, M.J.4
-
13
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
FLAUTNER, K, KIM, N. S., MARTIN, S., BLAAUW, D., AND MUDGE, T. 2002. Drowsy caches: Simple techniques for reducing leakage power. In Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA'02). IEEE Computer Society, 148-157 (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
15
-
-
51549106554
-
Control theory-based DVS for interactive 3d games
-
ACM, New York, NY
-
GU, Y. AND CHAKRABORTY, S. 2008a. Control theory-based DVS for interactive 3d games. In Proceedings of the 45th Annual Conference on Design Automation (DAC'08). ACM, New York, NY, 740-745
-
(2008)
Proceedings of the 45th Annual Conference on Design Automation (DAC'08)
, pp. 740-745
-
-
Gu, Y.1
Chakraborty, S.2
-
17
-
-
34547209872
-
Games are up for DVFS
-
DOI 10.1145/1146909.1147063, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
GU, Y., CHAKRABORTY, S, AND OOI, W. T. 2006. Games are up for DVFs. In Proceedings of the 43rd Annual Conference on Design Automation (DAC'06). ACM, New York, NY, 598-603 (Pubitemid 47113966)
-
(2006)
Proceedings - Design Automation Conference
, pp. 598-603
-
-
Gu, Y.1
Chakraborty, S.2
Ooi, W.T.3
-
18
-
-
77954994853
-
An integrated gpu power and performance model
-
ACM, New York, NY
-
HONG, S. AND KIM, H. 2010. An integrated gpu power and performance model. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10). ACM, New York, NY, 280-289
-
(2010)
Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA'10)
, pp. 280-289
-
-
Hong, S.1
Kim, H.2
-
19
-
-
16244409255
-
Microarchitectural techniques for power gating of execution units
-
2.2, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
HU, Z., BUYUKTOSUNOGLU, A., SRINIVASAN, V., ZYUBAN, V., JACOBSON, H., AND BOSe, P. 2004. Microarchitectural techniques for power gating of execution units. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED'04). ACM, New York, NY, 32-37 (Pubitemid 40454678)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 32-37
-
-
Hu, Z.1
Buyuktosunoglu, A.2
Srinivasan, V.3
Zyuban, V.4
Jacobson, H.5
Bose, P.6
-
20
-
-
0031606564
-
Prefetching in a texture cache architecture
-
ACM, New York, NY, 133-ff
-
IGEHY, H., ELDRIDGE, M., AND PROUDFOOT, K. 1998. Prefetching in a texture cache architecture. In HWWS'98: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware. ACM, New York, NY, 133-ff
-
(1998)
HWWS'98: Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Workshop on Graphics Hardware
-
-
Igehy, H.1
Eldridge, M.2
Proudfoot, K.3
-
23
-
-
47349094363
-
Implementing branch-predictor decay using quasi-static memory cells
-
JUANG, P.,SKADRON, K.,MARTONOSI, M.,HU, Z.,CLARK, D.W.,DIODATO, P.W., AND KAXIRAS, S. 2004. Implementing branch-predictor decay using quasi-static memory cells. ACM Trans. Archit. Code Optim. 1, 2, 180-219
-
(2004)
ACM Trans. Archit. Code Optim
, vol.1
, Issue.2
, pp. 180-219
-
-
Juang, P.1
Skadron, K.2
Martonosi, M.3
Hu, Z.4
Clark, D.W.5
Diodato, P.W.6
Kaxiras, S.7
-
24
-
-
33644988883
-
Distance-based recent use (dru): An enhancement to instruction cache replacement policies for transition energy reduction
-
KALLA, P., HU, X. S., AND HENKEL, J. 2006. Distance-based recent use (dru): an enhancement to instruction cache replacement policies for transition energy reduction. IEEE Trans. VLSI Syst. 14, 1, 69-80
-
(2006)
IEEE Trans. VLSI Syst
, vol.14
, Issue.1
, pp. 69-80
-
-
Kalla, P.1
Hu, X.S.2
Henkel, J.3
-
26
-
-
0034230287
-
Dual-threshold voltage techniques for low-power digital circuits
-
KAO, J. AND CHANDRAKASAN, A. 2000. Dual-threshold voltage techniques for low-power digital circuits. IEEE J. Solid-State Circ. 35, 7, 1009-1018
-
(2000)
IEEE J. Solid-State Circ
, vol.35
, Issue.7
, pp. 1009-1018
-
-
Kao, J.1
Chandrakasan, A.2
-
27
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
KAXIRAS, S., HU, Z., AND MARTONOSI, M. 2001. Cache decay: exploiting generational behavior to reduce cache leakage power. In Proceedings of the 28th Annual International Symposium on Computer Architecture (ISCA'01). ACM, New York, NY, 240-251 (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
28
-
-
80455151162
-
-
KHRONOS
-
KHRONOS. 2010. Opencl overview. http://www.khronos.org/opencl/
-
(2010)
Opencl Overview
-
-
-
30
-
-
0035278927
-
Comparing system-level power management policies
-
DOI 10.1109/54.914592
-
LU, Y.-H. AND MICHELI, G. D. 2001. Comparing system-level power management policies. IEEE Des. Test 18, 2, 10-19 (Pubitemid 32293324)
-
(2001)
IEEE Design and Test of Computers
, vol.18
, Issue.2
, pp. 10-19
-
-
Lu, Y.-H.1
De Micheli, G.2
-
31
-
-
80455166290
-
Radeon r600, a 2nd generation unified shader architecture
-
MANTORM
-
MANTOR,M. 2007. Radeon r600, a 2nd generation unified shader architecture. In Hot Chips 19: A Symposium on High Performance Chips
-
(2007)
Hot Chips 19: A Symposium on High Performance Chips
-
-
-
32
-
-
34047094473
-
Power analysis of mobile 3d graphics
-
European Design and Automation Association, Belgium
-
MOCHOCKI, B., LAHIRI, K, AND CADAMBI, S. 2006a. Power analysis of mobile 3d graphics. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06). European Design and Automation Association, Belgium, 502-507
-
(2006)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE'06)
, pp. 502-507
-
-
Mochocki, B.1
Lahiri, K.2
Cadambi, S.3
-
33
-
-
34547155747
-
Signature-based workload estimation for mobile 3D graphics
-
DOI 10.1145/1146909.1147062, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
MOCHOCKI, B., LAHIRI, K, CADAMBI, S., AND HU, X. S. 2006b. Signature-based workload estimation for mobile 3d graphics. In Proceedings of the 43rd Annual Conference on Design Automation (DAC'06). ACM, New York, NY, 592-597 (Pubitemid 47113965)
-
(2006)
Proceedings - Design Automation Conference
, pp. 592-597
-
-
Mochocki, B.C.1
Lahiri, K.2
Cadambi, S.3
Hu, X.S.4
-
34
-
-
66149084317
-
A low-power handheld GPU using logarithmic arithmetic and tripleDVFS power domains
-
NAM, B.-G., LEE, J.,KIM, K, LEE, S. J., AND YOO,H.-J. 2007. A low-power handheld GPU using logarithmic arithmetic and tripleDVFS power domains. In Proceedings of the 22nd ACM SIGGRAPH/EUROGRAPHICS Symposium on Graphics hardware (GH'07). 73-80
-
(2007)
Proceedings of the 22nd ACM SIGGRAPH/EUROGRAPHICS Symposium on Graphics hardware (GH'07)
, pp. 73-80
-
-
Nam, B.-G.1
Lee, J.2
Kim, K.3
Lee, S.J.4
Yoo, H.-J.5
-
35
-
-
80455151163
-
-
NVIDIA
-
NVIDIA. 2010. Cuda zone. http://www.nvidia.com/object/cuda.home.new.html
-
Cuda Zone
, vol.2010
-
-
-
36
-
-
0033672408
-
Gated-vdd: A circuit technique to reduce leakage in deep-sub micron cache memories
-
ACM, New York, NY
-
POWELL, M., YANG, S.-H., FALSAFI, B., ROY, K., AND VIJAYKUMAR, T. N. 2000. Gated-vdd: A circuit technique to reduce leakage in deep-sub micron cache memories. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED'00). ACM, New York, NY, 90-95
-
(2000)
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED'00)
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
37
-
-
34547809442
-
Fast triangle reordering for vertex locality and reduced overdraw
-
SANDER, P. V., NEHAB, D., AND BARCZAK, J. 2007. Fast triangle reordering for vertex locality and reduced overdraw. ACM Trans. Graph. 26, 3, 89
-
(2007)
ACM Trans. Graph
, vol.26
, Issue.3
, pp. 89
-
-
Sander, P.V.1
Nehab, D.2
Barczak, J.3
-
38
-
-
78650725832
-
A flexible simulation framework for graphics architectures
-
ACM, New York, NY
-
SHEAFFER, J. W., LUEBKE, D., AND SKADRON, K. 2004. A flexible simulation framework for graphics architectures. In Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware (HWWS'04). ACM, New York, NY, 85-94
-
(2004)
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware (HWWS'04)
, pp. 85-94
-
-
Sheaffer, J.W.1
Luebke, D.2
Skadron, K.3
-
39
-
-
33744489095
-
Studying thermal management for graphics-processor architectures
-
DOI 10.1109/ISPASS.2005.1430559, 1430559, ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
-
SHEAFFER, J. W., SKADRON, K., AND LUEBKE, D. P. 2005. Studying thermal management for graphicsprocessor architectures. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'05). IEEE Computer Society, 54-65 (Pubitemid 43804303)
-
(2005)
ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
, vol.2005
, pp. 54-65
-
-
Sheaffer, J.W.1
Skadron, K.2
Luebke, D.P.3
-
40
-
-
33646388655
-
A 155-mw 50-m vertices/s graphics processor with fixed-point programmable vertex shader for mobile applications
-
SOHN, J.-H., WOO, J.-H., LEE, M.-W., KIM, H.-J., WOO, R., AND YOO, H.-J. 2006. A 155-mw 50-m vertices/s graphics processor with fixed-point programmable vertex shader for mobile applications. IEEE J. Solid- State Circ. 41, 5, 1081-1091
-
(2006)
IEEE. J. Solid- State Circ
, vol.41
, Issue.5
, pp. 1081-1091
-
-
Sohn, J.-H.1
Woo, J.-H.2
Lee, M.-W.3
Kim, H.-J.4
Woo, R.5
Yoo, H.-J.6
-
43
-
-
67650602178
-
A predictive shutdown technique for gpu shader processors
-
WANG, P.-H., CHEN, Y.-M., YANG, C.-L., AND CHENG, Y.-J. 2009. A predictive shutdown technique for gpu shader processors. IEEE Comput. Archit. Lett. 8, 9-12
-
(2009)
IEEE Comput. Archit. Lett
, vol.8
, pp. 9-12
-
-
Wang, P.-H.1
Chen, Y.-M.2
Yang, C.-L.3
Cheng, Y.-J.4
-
44
-
-
40349108986
-
Dynamic standby prediction for leakage tolerant microprocessor functional units
-
IEEE Computer Society
-
YOUSSEF, A., ANIS, M., AND ELMASRY, M. 2006. Dynamic standby prediction for leakage tolerant microprocessor functional units. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 371-384.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 371-384
-
-
Youssef, A.1
Anis, M.2
Elmasry, M.3
|