-
1
-
-
70049105948
-
GARNET: A Detailed On-Chip Network Model Inside a Full-System Simulator
-
N. Agarwal, T. Krishna, L.-S. Peh, and N. Jha. GARNET: A Detailed On-Chip Network Model Inside a Full-System Simulator. In IEEE International Symposium on Performance Analysis of Systems and Software, pages 33 -42, 2009.
-
(2009)
IEEE International Symposium on Performance Analysis of Systems and Software
, pp. 33-42
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jha, N.4
-
2
-
-
77955112291
-
Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems
-
C.-H. Chao, K.-Y. Jheng, H.-Y. Wang, J.-C. Wu, and A.-Y. Wu. Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems. In NOCS '10: Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, pages 223-230, 2010.
-
(2010)
NOCS '10: Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
, pp. 223-230
-
-
Chao, C.-H.1
Jheng, K.-Y.2
Wang, H.-Y.3
Wu, J.-C.4
Wu, A.-Y.5
-
3
-
-
33748849061
-
BulletProof: A Defect Tolerant CMP Switch Architecture
-
K. Constantinides, S. Plaza, J. Blome, B. Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky. BulletProof: A Defect Tolerant CMP Switch Architecture. In International Symposium on High-Performance Computer Architectures, 2006.
-
International Symposium on High-Performance Computer Architectures, 2006
-
-
Constantinides, K.1
Plaza, S.2
Blome, J.3
Zhang, B.4
Bertacco, V.5
Mahlke, S.6
Austin, T.7
Orshansky, M.8
-
4
-
-
70350721929
-
Vicis: A Reliable Network for Unreliable Silicon
-
New York, NY, USA
-
D. Fick, A. DeOrio, J. Hu, V. Bertacco, D. Blaauw, and D. Sylvester. Vicis: a Reliable Network for Unreliable Silicon. In Proceedings of the 46th Annual Design Automation Conference, pages 812-817, New York, NY, USA, 2009.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference
, pp. 812-817
-
-
Fick, D.1
DeOrio, A.2
Hu, J.3
Bertacco, V.4
Blaauw, D.5
Sylvester, D.6
-
5
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-GHz Mesh Interconnect for a Teraflops Processor. IEEE Micro, 27(5):51-61, 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
6
-
-
38749126315
-
HotSpot: Thermal Modeling for CMOS VLSI Systems
-
W. Huang, S. Ghosh, K. Sankaranarayanan, K. Skadron, and M. R. Stan. HotSpot: Thermal Modeling for CMOS VLSI Systems. In IEEE Transactions on Component Packaging and Manufacturing Technology, 2005.
-
IEEE Transactions on Component Packaging and Manufacturing Technology, 2005
-
-
Huang, W.1
Ghosh, S.2
Sankaranarayanan, K.3
Skadron, K.4
Stan, M.R.5
-
7
-
-
77952123736
-
A 48-Core IA-32 Message-Passing Processor with DVFS in 45nm CMOS
-
J. Howard et al. A 48-Core IA-32 Message-Passing Processor with DVFS in 45nm CMOS. International Solid-State Circuits Conference, pages 108-109, 2010.
-
(2010)
International Solid-State Circuits Conference
, pp. 108-109
-
-
Howard, J.1
-
8
-
-
70350060187
-
ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration
-
A. Kahng, B. Li, L.-S. Peh, and K. Samadi. ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration. In Proceedings of the conference on Design, Automation and Test in Europe, pages 423-428, 2009.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
9
-
-
78650730068
-
SWIFT: A SWing-reduced Interconnect for a Token-based Network-on-Chip in 90nm CMOS
-
T. Krishna, J. Postman, C. Edmonds, L.-S. Peh, and P. Chiang. SWIFT: A SWing-reduced Interconnect For a Token-based Network-on-Chip in 90nm CMOS. In Proceedings of International Conference on Computer Design, 2010.
-
Proceedings of International Conference on Computer Design, 2010
-
-
Krishna, T.1
Postman, J.2
Edmonds, C.3
Peh, L.-S.4
Chiang, P.5
-
10
-
-
33947326438
-
Forbidden pitches in sub-wavelength lithography and their implications on design
-
DOI 10.1007/s10820-006-9044-7
-
S. Kundu, A. Sreedhar, and A. Sanyal. Forbidden Pitches in Sub-Wavelength Lithography and Their Implications on Design. Journal of Computer Aided Materials Design, 14(1):79-89, 2007. (Pubitemid 46437017)
-
(2007)
Journal of Computer-Aided Materials Design
, vol.14
, Issue.1
, pp. 79-89
-
-
Kundu, S.1
Sreedhar, A.2
Sanyal, A.3
-
11
-
-
46149084689
-
Microarchitecture Parameter Selection to Optimize System Performance under Process Variation
-
X. Liang and D. Brooks. Microarchitecture Parameter Selection to Optimize System Performance Under Process Variation. In International Conference on Computer-Aided Design (ICCAD), pages 429-436, 2006.
-
(2006)
International Conference on Computer-Aided Design (ICCAD)
, pp. 429-436
-
-
Liang, X.1
Brooks, D.2
-
13
-
-
70350724720
-
Statistical Reliability Analysis under Process Variation and Aging Effects
-
New York
-
Y. Lu, L. Shang, H. Zhou, H. Zhu, F. Yang, and X. Zeng. Statistical Reliability Analysis Under Process Variation and Aging Effects. In Proceedings of the 46th Annual Design Automation Conference, pages 514-519, New York, 2009.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference
, pp. 514-519
-
-
Lu, Y.1
Shang, L.2
Zhou, H.3
Zhu, H.4
Yang, F.5
Zeng, X.6
-
14
-
-
33748870886
-
Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset
-
M. Martin et al. Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset. Computer Architecture News (CAN), 2005.
-
(2005)
Computer Architecture News (CAN)
-
-
Martin, M.1
-
15
-
-
77956226900
-
On the effects of process variation in network-on-chip architectures
-
C. Nicopoulos, S. Srinivasan, A. Yanamandra, D. Park, V. Narayanan, C. R. Das, and M. J. Irwin. On the effects of process variation in network-on-chip architectures. IEEE Transactions on Dependable and Secure Computing, 7:240-254, 2010.
-
(2010)
IEEE Transactions on Dependable and Secure Computing
, vol.7
, pp. 240-254
-
-
Nicopoulos, C.1
Srinivasan, S.2
Yanamandra, A.3
Park, D.4
Narayanan, V.5
Das, C.R.6
Irwin, M.J.7
-
16
-
-
38949186007
-
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects
-
S. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects. IEEE Transactions on Semiconductor Manufacturing, 21(1):3 -13, 2008.
-
(2008)
IEEE Transactions on Semiconductor Manufacturing
, vol.21
, Issue.1
, pp. 3-13
-
-
Sarangi, S.1
Greskamp, B.2
Teodorescu, R.3
Nakano, J.4
Tiwari, A.5
Torrellas, J.6
-
17
-
-
34548133322
-
A Model for Timing Errors in Processors with Parameter Variation
-
S. R. Sarangi, B. Greskamp, and J. Torrellas. A Model for Timing Errors in Processors with Parameter Variation. In ISQED, pages 647-654, 2007.
-
(2007)
ISQED
, pp. 647-654
-
-
Sarangi, S.R.1
Greskamp, B.2
Torrellas, J.3
-
18
-
-
84856202332
-
Have I Really Met Timing? - Validating PrimeTime Timing Reports with Spice
-
IEEE Computer Society
-
T. Thiel. Have I Really Met Timing? - Validating PrimeTime Timing Reports with Spice. In DATE '04: Proceedings of the conference on Design, automation and test in Europe, Washington, DC, USA, 2004. IEEE Computer Society.
-
DATE '04: Proceedings of the Conference on Design, Automation and Test in Europe, Washington, DC, USA, 2004
-
-
Thiel, T.1
|