-
2
-
-
2342487209
-
-
Technical Report UPC-DAC-2002-39, Universitat Politècnica de Catalunya, July
-
A. Cristal, M. Valero, J.-L. Llosa, and A. González. Large virtual ROBs by processor checkpointing. Technical Report UPC-DAC-2002-39, Universitat Politècnica de Catalunya, July 2002.
-
(2002)
Large Virtual ROBs by Processor Checkpointing
-
-
Cristal, A.1
Valero, M.2
Llosa, J.-L.3
González, A.4
-
3
-
-
0033716803
-
Multiple-banked register file architectures
-
Vancouver, Canada, June
-
J. L. Cruz, A. González, M. Valero, and N. P. Topham. Multiple-banked register file architectures. In International Symposium on Computer Architecture, pages 316-325, Vancouver, Canada, June 2000.
-
(2000)
International Symposium on Computer Architecture
, pp. 316-325
-
-
Cruz, J.L.1
González, A.2
Valero, M.3
Topham, N.P.4
-
4
-
-
0031605470
-
Data speculation support for a chip multiprocessor
-
San Jose, CA, October
-
L. Hammond, M. Wiley, and K. Olukotun. Data speculation support for a chip multiprocessor. In International Conference on Architectural Support for Programming Languages and Operating Systems, pages 58-69, San Jose, CA, October 1998.
-
(1998)
International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 58-69
-
-
Hammond, L.1
Wiley, M.2
Olukotun, K.3
-
5
-
-
0034226001
-
SPEC CPU2000: Measuring CPU performance in the new millennium
-
July
-
J. L. Henning. SPEC CPU2000: Measuring CPU performance in the new millennium. IEEE Computer, 33(7):28-35, July 2000.
-
(2000)
IEEE Computer
, vol.33
, Issue.7
, pp. 28-35
-
-
Henning, J.L.1
-
6
-
-
0009613335
-
The microarchitecture of the Pentium 4 processor
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel. The microarchitecture of the Pentium 4 processor. Intel Technology Journal, Q1 2001.
-
(2001)
Intel Technology Journal, Q1
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
7
-
-
0023169554
-
Checkpoint repair for out-of-order execution machines
-
Pittsburgh, PA, June
-
W. W. Hwu and Y. N. Patt. Checkpoint repair for out-of-order execution machines. In International Symposium on Computer Architecture, pages 18-26, Pittsburgh, PA, June 1987.
-
(1987)
International Symposium on Computer Architecture
, pp. 18-26
-
-
Hwu, W.W.1
Patt, Y.N.2
-
8
-
-
0006705029
-
Adapting the SPEC 2000 benchmark suite for simulation-based computer architecture research
-
Austin, TX, September
-
A. KleinOsowski, J. Flynn, N. Meares, and D. Lilja. Adapting the SPEC 2000 benchmark suite for simulation-based computer architecture research. In Workshop on Workload Characterization, Austin, TX, September 2000.
-
(2000)
Workshop on Workload Characterization
-
-
KleinOsowski, A.1
Flynn, J.2
Meares, N.3
Lilja, D.4
-
9
-
-
0033348795
-
A chip-multiprocessor architecture with speculative multithreading
-
September
-
V. Krishnan and J. Torrellas. A chip-multiprocessor architecture with speculative multithreading. IEEE Transactions on Computers, 48(9):866-880, September 1999.
-
(1999)
IEEE Transactions on Computers
, vol.48
, Issue.9
, pp. 866-880
-
-
Krishnan, V.1
Torrellas, J.2
-
10
-
-
0036286989
-
A large, fast instruction window for tolerating cache misses
-
Anchorage, AK, May
-
A. R. Lebeck, J. Koppanalil, T. Li, J. Patwardhan, and E. Rotenberg. A large, fast instruction window for tolerating cache misses. In International Symposium on Computer Architecture, pages 59-70, Anchorage, AK, May 2002.
-
(2002)
International Symposium on Computer Architecture
, pp. 59-70
-
-
Lebeck, A.R.1
Koppanalil, J.2
Li, T.3
Patwardhan, J.4
Rotenberg, E.5
-
11
-
-
0033185041
-
Software-directed register deallocation for simultaneous multithreaded processors
-
September
-
J. L. Lo, S. S. Parekh, S. J. Eggers, H. M. Levy, and D. M. Tullsen. Software-directed register deallocation for simultaneous multithreaded processors. IEEE Transactions on Parallel and Distributed Systems, 10(9):922-933, September 1999.
-
(1999)
IEEE Transactions on Parallel and Distributed Systems
, vol.10
, Issue.9
, pp. 922-933
-
-
Lo, J.L.1
Parekh, S.S.2
Eggers, S.J.3
Levy, H.M.4
Tullsen, D.M.5
-
12
-
-
0029545360
-
Exploiting short-lived variables in superscalar processors
-
Ann Arbor, MI, November-December
-
L. A. Lozano and G. R. Gao. Exploiting short-lived variables in superscalar processors. In International Symposium on Microarchitecture, pages 293-302, Ann Arbor, MI, November-December 1995.
-
(1995)
International Symposium on Microarchitecture
, pp. 293-302
-
-
Lozano, L.A.1
Gao, G.R.2
-
15
-
-
0031379698
-
Exploiting dead value information
-
Research Triangle Park, NC, December
-
M. M. Martin, A. Roth, and C. N. Fischer. Exploiting dead value information. In International Symposium on Microarchitecture, pages 125-135, Research Triangle Park, NC, December 1997.
-
(1997)
International Symposium on Microarchitecture
, pp. 125-135
-
-
Martin, M.M.1
Roth, A.2
Fischer, C.N.3
-
16
-
-
0033334912
-
Delaying physical register allocation through virtual-physical registers
-
Haifa, Israel, November
-
T. Monreal, A. González, M. Valero, J. González, and V. Viñals. Delaying physical register allocation through virtual-physical registers. In International Symposium on Microarchitecture, pages 186-192, Haifa, Israel, November 1999.
-
(1999)
International Symposium on Microarchitecture
, pp. 186-192
-
-
Monreal, T.1
González, A.2
Valero, M.3
González, J.4
Viñals, V.5
-
17
-
-
0028056592
-
Register renaming and dynamic speculation: An alternative approach
-
Austin, TX, December
-
M. Moudgill, K. Pingali, and S. Vassiliadis. Register renaming and dynamic speculation: An alternative approach. In International Symposium on Microarchitecture, pages 202-213, Austin, TX, December 1993.
-
(1993)
International Symposium on Microarchitecture
, pp. 202-213
-
-
Moudgill, M.1
Pingali, K.2
Vassiliadis, S.3
-
18
-
-
0024013595
-
Implementing precise interrupts in pipelined processors
-
May
-
J. E. Smith and A. R. Pleszkun. Implementing precise interrupts in pipelined processors. IEEE Transactions on Computers, 37(5):562-573, May 1988.
-
(1988)
IEEE Transactions on Computers
, vol.37
, Issue.5
, pp. 562-573
-
-
Smith, J.E.1
Pleszkun, A.R.2
-
19
-
-
0029182711
-
Multiscalar processors
-
Santa Margherita Ligure, Italy, June
-
G. S. Sohi, S. E. Breach, and T. N. Vijaykumar. Multiscalar processors. In International Symposium on Computer Architecture, pages 414-425, Santa Margherita Ligure, Italy, June 1995.
-
(1995)
International Symposium on Computer Architecture
, pp. 414-425
-
-
Sohi, G.S.1
Breach, S.E.2
Vijaykumar, T.N.3
-
20
-
-
0031605348
-
The potential for using thread-level data speculation to facilitate automatic parallelization
-
Las Vegas, NV, January-February
-
J. G. Steffan and T. C. Mowry. The potential for using thread-level data speculation to facilitate automatic parallelization. In International Symposium on High-Performance Computer Architecture, pages 2-13, Las Vegas, NV, January-February 1998.
-
(1998)
International Symposium on High-Performance Computer Architecture
, pp. 2-13
-
-
Steffan, J.G.1
Mowry, T.C.2
-
21
-
-
0036298603
-
POWER4 system microarchitecture
-
January
-
J. M. Tendler, J. S. Dodson, J. S. Fields, H. Le, and B. Sinharoy. POWER4 system microarchitecture. IBM Journal of Research and Development, 46(1):5-25, January 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 5-25
-
-
Tendler, J.M.1
Dodson, J.S.2
Fields, J.S.3
Le, H.4
Sinharoy, B.5
-
23
-
-
0030129806
-
The MIPS R10000 superscalar microprocessor
-
April
-
K. C. Yeager. The MIPS R10000 superscalar microprocessor. IEEE Micro, 6(2):28-40, April 1996.
-
(1996)
IEEE Micro
, vol.6
, Issue.2
, pp. 28-40
-
-
Yeager, K.C.1
-
24
-
-
0034462834
-
Two-level hierarchical register file organization for VLIW processors
-
Monterey, CA, December
-
J. Zalamea, J. Llosa, E. Ayguadé, and M. Valero. Two-level hierarchical register file organization for VLIW processors. In International Symposium on Microarchitecture, pages 137-146, Monterey, CA, December 2000.
-
(2000)
International Symposium on Microarchitecture
, pp. 137-146
-
-
Zalamea, J.1
Llosa, J.2
Ayguadé, E.3
Valero, M.4
|