-
1
-
-
21044458402
-
Blue Gene/L Torus Interconnection Network
-
N. R. Adiga, M. A. Blumrich, D. Chen, P. Coteus, A. Gara, M. E. Giampapa, P. Heidelberger, S. Singh, B. D. Steinmacher-Burow, T. Takken, M. Tsao, and P. Vranas. Blue Gene/L Torus Interconnection Network. IBM Journal of Research and Development, 49(2/3):265-276, 2005.
-
(2005)
IBM Journal of Research and Development
, vol.49
, Issue.2-3
, pp. 265-276
-
-
Adiga, N.R.1
Blumrich, M.A.2
Chen, D.3
Coteus, P.4
Gara, A.5
Giampapa, M.E.6
Heidelberger, P.7
Singh, S.8
Steinmacher-Burow, B.D.9
Takken, T.10
Tsao, M.11
Vranas, P.12
-
2
-
-
0024750644
-
An Optimal Shortest-Path Routing Policy for Network Computers with Regular Mesh-Connected Topologies
-
H. G. Badr and S. Podar. An Optimal Shortest-Path Routing Policy for Network Computers with Regular Mesh-Connected Topologies. IEEE Transactions on Computers, 38(10):1362-1371, 1989.
-
(1989)
IEEE Transactions on Computers
, vol.38
, Issue.10
, pp. 1362-1371
-
-
Badr, H.G.1
Podar, S.2
-
4
-
-
25844524721
-
-
E. Baydal, P. Lopez, and J. Duato. A Family of Mechanisms for Congestion Control in Wormhole Networks. IEEE Transactions on Parallel and Distributed Systems, 16(9):772-784, 2005.
-
E. Baydal, P. Lopez, and J. Duato. A Family of Mechanisms for Congestion Control in Wormhole Networks. IEEE Transactions on Parallel and Distributed Systems, 16(9):772-784, 2005.
-
-
-
-
5
-
-
0027579765
-
Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
-
W. J. Dally and H. Aoki. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels. IEEE Transactions on Parallel and Distributed Systems, 4(4):466-475, 1993.
-
(1993)
IEEE Transactions on Parallel and Distributed Systems
, vol.4
, Issue.4
, pp. 466-475
-
-
Dally, W.J.1
Aoki, H.2
-
8
-
-
0027837827
-
-
J. Duato. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Transactions on Parallel and Distributed Systems, 4(12):1320-1331, 1993.
-
J. Duato. A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks. IEEE Transactions on Parallel and Distributed Systems, 4(12):1320-1331, 1993.
-
-
-
-
9
-
-
24144487243
-
A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks
-
J. Duato, I. Johnson, J. Flich, F. Naven, P. Garcia, and T. Nachiondo. A New Scalable and Cost-Effective Congestion Management Strategy for Lossless Multistage Interconnection Networks. In International Symposium on High-Performance Computer Architecture, pages 108-119, 2005.
-
(2005)
International Symposium on High-Performance Computer Architecture
, pp. 108-119
-
-
Duato, J.1
Johnson, I.2
Flich, J.3
Naven, F.4
Garcia, P.5
Nachiondo, T.6
-
10
-
-
0030689539
-
Impact of Selection Functions on Routing Algorithm Performance in Multicomputer Networks
-
W. Feng and K. G. Shin. Impact of Selection Functions on Routing Algorithm Performance in Multicomputer Networks. In International Conference on Supercomputing, pages 132-139, 1997.
-
(1997)
International Conference on Supercomputing
, pp. 132-139
-
-
Feng, W.1
Shin, K.G.2
-
11
-
-
0032645270
-
A New Method to Make Communication Latency Uniform: Distributed Routing Balancing
-
D. Franco, I. Garcés, and E. Luque. A New Method to Make Communication Latency Uniform: Distributed Routing Balancing. In International Conference on Supercomputing, pages 210-219, 1999.
-
(1999)
International Conference on Supercomputing
, pp. 210-219
-
-
Franco, D.1
Garcés, I.2
Luque, E.3
-
12
-
-
0000466264
-
Scalable Pipelined Interconnect for Distributed Endpoint Routing: The SGI Spider Chip
-
M. Galles. Scalable Pipelined Interconnect for Distributed Endpoint Routing: The SGI Spider Chip. In HOT Interconnects IV, pages 141-146, 1996.
-
(1996)
HOT Interconnects IV
, pp. 141-146
-
-
Galles, M.1
-
13
-
-
0028513557
-
The Turn Model for Adaptive Routing
-
C. J. Glass and L. M. Ni. The Turn Model for Adaptive Routing. Journal of the ACM, 41(5):874-902, 1994.
-
(1994)
Journal of the ACM
, vol.41
, Issue.5
, pp. 874-902
-
-
Glass, C.J.1
Ni, L.M.2
-
14
-
-
36348975404
-
Implementation and Evaluation of On-Chip Network Architectures
-
P. Gratz, C. Kim, R. McDonald, S. W. Keckler, and D. Burger. Implementation and Evaluation of On-Chip Network Architectures. In International Conference on Computer Design, pages 477-484, 2006.
-
(2006)
International Conference on Computer Design
, pp. 477-484
-
-
Gratz, P.1
Kim, C.2
McDonald, R.3
Keckler, S.W.4
Burger, D.5
-
15
-
-
36349000348
-
Implementation and Evaluation of a Dynamically Routed Processor Operand Network
-
P. Gratz, K. Sankaralingam, H. Hanson, P. Shivakumar, R. McDonald, S. W. Keckler, and D. Burger. Implementation and Evaluation of a Dynamically Routed Processor Operand Network. In International Symposium on Networks-on-Chip, pages 7-17, 2007.
-
(2007)
International Symposium on Networks-on-Chip
, pp. 7-17
-
-
Gratz, P.1
Sankaralingam, K.2
Hanson, H.3
Shivakumar, P.4
McDonald, R.5
Keckler, S.W.6
Burger, D.7
-
16
-
-
27944435722
-
A Low Latency Router Supporting Adaptivity for On-Chip Interconnects
-
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. R. Das. A Low Latency Router Supporting Adaptivity for On-Chip Interconnects. In International Conference on Design Automation, pages 559-564, 2005.
-
(2005)
International Conference on Design Automation
, pp. 559-564
-
-
Kim, J.1
Park, D.2
Theocharides, T.3
Vijaykrishnan, N.4
Das, C.R.5
-
17
-
-
35348858651
-
Express Virtual Channels: Towards the Ideal Interconnection Fabric
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express Virtual Channels: Towards the Ideal Interconnection Fabric. In International Symposium on Computer Architecture, pages 150-161, 2007.
-
(2007)
International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
18
-
-
0036167929
-
The Alpha 21364 Network Architecture
-
S. S. Mukherjee, P. Bannon, S. Lang, A. Spink, and D. Webb. The Alpha 21364 Network Architecture. IEEE Micro, 22(1):26-35, 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.1
, pp. 26-35
-
-
Mukherjee, S.S.1
Bannon, P.2
Lang, S.3
Spink, A.4
Webb, D.5
-
23
-
-
31344457004
-
Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor
-
January
-
D. Pham, T. Aipperspach, D. Boerstler, M. Bolliger, R. Chaudhry, D. Cox, P. Harvey, P. Harvey, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Pham, J. Pille, S. Posluszny, M. Riley, D. Stasiak, M. Suzuoki, O. Takahashi, J. Warnock, S. Weitzel, D. Wendel, and K. Yazawa. Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor. IEEE Journal of Solid-State Circuits, 41(1):179-196, January 2006.
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 179-196
-
-
Pham, D.1
Aipperspach, T.2
Boerstler, D.3
Bolliger, M.4
Chaudhry, R.5
Cox, D.6
Harvey, P.7
Harvey, P.8
Hofstee, H.9
Johns, C.10
Kahle, J.11
Kameyama, A.12
Keaty, J.13
Masubuchi, Y.14
Pham, M.15
Pille, J.16
Posluszny, S.17
Riley, M.18
Stasiak, D.19
Suzuoki, M.20
Takahashi, O.21
Warnock, J.22
Weitzel, S.23
Wendel, D.24
Yazawa, K.25
more..
-
24
-
-
36849066437
-
The Distributed Microarchitecture of the TRIPS Prototype Processor
-
K. Sankaralingam, R. Nagarajan, P. Gratz, R. Desikan, D. Gulati, H. Hanson, C. Kim, H. Liu, N. Ranganathan, S. Sethumadhavan, S. Sharif, P. Shivakumar, W. Yoder, R. McDonald, S. Keckler, and D. Burger. The Distributed Microarchitecture of the TRIPS Prototype Processor. In International Symposium on Microarchitecture, pages 480-491, 2006.
-
(2006)
International Symposium on Microarchitecture
, pp. 480-491
-
-
Sankaralingam, K.1
Nagarajan, R.2
Gratz, P.3
Desikan, R.4
Gulati, D.5
Hanson, H.6
Kim, C.7
Liu, H.8
Ranganathan, N.9
Sethumadhavan, S.10
Sharif, S.11
Shivakumar, P.12
Yoder, W.13
McDonald, R.14
Keckler, S.15
Burger, D.16
-
25
-
-
0000002112
-
The Cray T3E Network: Adaptive Routing in a High Performance 3D Torus
-
S. L. Scott and G. M. Thorson. The Cray T3E Network: Adaptive Routing in a High Performance 3D Torus. In HOT Interconnects IV, pages 147-156, 1996.
-
(1996)
HOT Interconnects IV
, pp. 147-156
-
-
Scott, S.L.1
Thorson, G.M.2
-
26
-
-
27544463701
-
Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks
-
D. Seo, A. Ali, W.-T. Lim, N. Rafique, and M. Thottethodi. Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks. In International Symposium on Computer Architecture, pages 432-443, 2005.
-
(2005)
International Symposium on Computer Architecture
, pp. 432-443
-
-
Seo, D.1
Ali, A.2
Lim, W.-T.3
Rafique, N.4
Thottethodi, M.5
-
27
-
-
0038682449
-
GOAL: A Load-Balanced Adaptive Routing Algorithm for Torus Networks
-
A. Singh,W. J. Dally, A. K. Gupta, and B. Towles. GOAL: A Load-Balanced Adaptive Routing Algorithm for Torus Networks. In International Symposium on Computer Architecture, pages 194-205, 2003.
-
(2003)
International Symposium on Computer Architecture
, pp. 194-205
-
-
Singh, A.1
Dally, W.J.2
Gupta, A.K.3
Towles, B.4
-
28
-
-
85008053996
-
Globally Adaptive Load-Balanced Routing on Tori
-
A. Singh,W. J. Dally, B. Towles, and A. K. Gupta. Globally Adaptive Load-Balanced Routing on Tori. IEEE Computer Architecture Letters, 3(1):2, 2004.
-
(2004)
IEEE Computer Architecture Letters
, vol.3
, Issue.1
, pp. 2
-
-
Singh, A.1
Dally, W.J.2
Towles, B.3
Gupta, A.K.4
-
29
-
-
57749171623
-
-
SPLASH-2. http://www-flash.stanford.edu/apps/SPLASH/.
-
SPLASH-2. http://www-flash.stanford.edu/apps/SPLASH/.
-
-
-
-
30
-
-
84955456130
-
Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture
-
M. B. Taylor, W. Lee, S. P. Amarasinghe, and A. Agarwal. Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architecture. In International Symposium on High-Performance Computer Architecture, pages 341-353, 2003.
-
(2003)
International Symposium on High-Performance Computer Architecture
, pp. 341-353
-
-
Taylor, M.B.1
Lee, W.2
Amarasinghe, S.P.3
Agarwal, A.4
-
33
-
-
57749192016
-
-
L. G. Valiant. A Scheme for Fast Parallel Communication. SIAM Journal on Computing, 11(2):350-361, 1982.
-
L. G. Valiant. A Scheme for Fast Parallel Communication. SIAM Journal on Computing, 11(2):350-361, 1982.
-
-
-
-
34
-
-
34548858682
-
An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS
-
February
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar. An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS. In IEEE International Solid-State Circuits Conference, pages 98-99, February 2007.
-
(2007)
IEEE International Solid-State Circuits Conference
, pp. 98-99
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
35
-
-
0031236158
-
Baring It All to Software: RAW Machines
-
September
-
E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J. Babb, S. Amarasinghe, and A. Agarwal. Baring It All to Software: RAW Machines. IEEE Computer, 30(9):86-93, September 1997.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
|