-
1
-
-
85008878660
-
-
ARM. 2015. Cortex-A57 Technique Reference Manual. Retrieved from http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ddi0488g/index.html.
-
(2015)
Cortex-A57 Technique Reference Manual
-
-
-
3
-
-
84966338604
-
The Gem5 simulator
-
2011
-
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness,Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen,Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The Gem5 simulator. SIGARCH Computer Architecture News 39, 2 (2011), 1-7.
-
(2011)
SIGARCH Computer Architecture News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
4
-
-
70450230796
-
End-to-end register data-flow continuous self-test
-
ACM, New York, NY, USA
-
Javier Carretero, Pedro Chaparro, Xavier Vera, Jaume Abella, and Antonio González. 2009. End-to-end register data-flow continuous self-test. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09). ACM, New York, NY, USA, 105-115.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA'09)
, pp. 105-115
-
-
Carretero, J.1
Chaparro, P.2
Vera, X.3
Abella, J.4
González, A.5
-
6
-
-
84898026203
-
Containment domains: A scalable, efficient and flexible resilience scheme for exascale systems
-
2013
-
Jinsuk Chung, Ikhwan Lee, Michael Sullivan, Jee Ho Ryoo, Dong Wan Kim, Doe Hyun Yoon, Larry Kaplan, and Mattan Erez. 2013. Containment domains: A scalable, efficient and flexible resilience scheme for exascale systems. Scientific Programming 21, 3-4 (2013), 197-212.
-
(2013)
Scientific Programming
, vol.21
, Issue.3-4
, pp. 197-212
-
-
Chung, J.1
Lee, I.2
Sullivan, M.3
Ryoo, J.H.4
Kim, D.W.5
Yoon, D.H.6
Kaplan, L.7
Erez, M.8
-
9
-
-
84876946033
-
Idempotent code generation: Implementation, analysis, and evaluation
-
IEEE Computer Society
-
Marc de Kruijf and Karthikeyan Sankaralingam. 2013. Idempotent code generation: Implementation, analysis, and evaluation. In CGO. IEEE Computer Society, 1-12.
-
(2013)
CGO
, pp. 1-12
-
-
De Kruijf, M.1
Sankaralingam, K.2
-
11
-
-
77949759608
-
Shoestring: Probabilistic soft error reliability on the cheap
-
2010
-
Shuguang Feng, Shantanu Gupta, Amin Ansari, and Scott Mahlke. 2010. Shoestring: Probabilistic soft error reliability on the cheap. ACM SIGARCH Computer Architecture News 38 (2010), 385-396.
-
(2010)
ACM SIGARCH Computer Architecture News
, vol.38
, pp. 385-396
-
-
Feng, S.1
Gupta, S.2
Ansari, A.3
Mahlke, S.4
-
12
-
-
84863372488
-
Encore: Lowcost, fine-grained transient fault recovery
-
Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott A. Mahlke, and David I. August. 2011. Encore: Lowcost, fine-grained transient fault recovery. In MICRO'11. 398-409.
-
(2011)
MICRO'11
, pp. 398-409
-
-
Feng, S.1
Gupta, S.2
Ansari, A.3
Mahlke, S.A.4
August, D.I.5
-
13
-
-
84962779213
-
MiBench: A free, commercially representative embedded benchmark suite
-
IEEE
-
Matthew R. Guthaus, Jeffrey S. Ringenberg, Dan Ernst, Todd M. Austin, Trevor Mudge, and Richard B. Brown. 2001. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of thee 2001 IEEE International Workshop on Workload Characterization (WWC-4'01). IEEE, 3-14.
-
(2001)
Proceedings of Thee 2001 IEEE International Workshop on Workload Characterization (WWC-4'01)
, pp. 3-14
-
-
Guthaus, M.R.1
Ringenberg, J.S.2
Ernst, D.3
Austin, T.M.4
Mudge, T.5
Brown, R.B.6
-
16
-
-
84858759524
-
Relyzer: Exploiting application-level fault equivalence to analyze application resiliency to transient faults
-
2012
-
Siva Kumar Sastry Hari, Sarita V. Adve, Helia Naeimi, and Pradeep Ramachandran. 2012b. Relyzer: Exploiting application-level fault equivalence to analyze application resiliency to transient faults. ACM SIGPLAN Notices 47 (2012), 123-134.
-
(2012)
ACM SIGPLAN Notices
, vol.47
, pp. 123-134
-
-
Hari, S.K.S.1
Adve, S.V.2
Naeimi, H.3
Ramachandran, P.4
-
17
-
-
84879864203
-
Reliable on-chip systems in the nano-era: Lessons learnt and future trends
-
Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, and Norbert Wehn. 2013. Reliable on-chip systems in the nano-era: Lessons learnt and future trends. In Proceedings of the 50th Annual Design Automation Conference (DAC'13). 99:1-99:10.
-
(2013)
Proceedings of the 50th Annual Design Automation Conference (DAC'13)
, pp. 991-9910
-
-
Henkel, J.1
Bauer, L.2
Dutt, N.3
Gupta, P.4
Nassif, S.5
Shafique, M.6
Tahoori, M.7
Wehn, N.8
-
18
-
-
84930653090
-
UnSync-CMP: MulticoreCMParchitecture for energy efficient soft error reliability
-
January 2014
-
Reiley Jeyapaul, Abhishek Risheekesan, Aviral Shrivastava, and Kyoungwoo Lee. 2014. UnSync-CMP: MulticoreCMParchitecture for energy efficient soft error reliability. Transactions on Parallel and Distributed Systems 25, 1 (January 2014), 254-263.
-
(2014)
Transactions on Parallel and Distributed Systems
, vol.25
, Issue.1
, pp. 254-263
-
-
Jeyapaul, R.1
Risheekesan, A.2
Shrivastava, A.3
Lee, K.4
-
22
-
-
84986545349
-
Adaptive execution method for multithreaded processor-based parallel system
-
US Patent
-
Chang Hee Jung, Dae Seob Lim, Jae Jin Lee, and Sang Yong Han. 2009. Adaptive execution method for multithreaded processor-based parallel system. US Patent No. 7,526,637.
-
(2009)
-
-
Jung, C.H.1
Lim, D.S.2
Lee, J.J.3
Han, S.Y.4
-
23
-
-
84863539197
-
Near-threshold voltage (NTV) design opportunities and challenges
-
H. Kaul, M. Anders, S. Hsu, A. Agarwal, R. Krishnamurthy, and S. Borkar. 2012. Near-threshold voltage (NTV) design opportunities and challenges. In Proceedings of the 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC'12). 1149-1154.
-
(2012)
Proceedings of the 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC'12)
, pp. 1149-1154
-
-
Kaul, H.1
Anders, M.2
Hsu, S.3
Agarwal, A.4
Krishnamurthy, R.5
Borkar, S.6
-
26
-
-
84864144734
-
Efficient soft error protection for commodity embedded microprocessors using profile information
-
2012
-
Daya Shanker Khudia, Griffin Wright, and Scott Mahlke. 2012. Efficient soft error protection for commodity embedded microprocessors using profile information. ACM SIGPLAN Notices 47 (2012), 99-108.
-
(2012)
ACM SIGPLAN Notices
, vol.47
, pp. 99-108
-
-
Khudia, D.S.1
Wright, G.2
Mahlke, S.3
-
28
-
-
84934289102
-
Bamboo ECC: Strong, safe, and flexible codes for reliable computer memory
-
IEEE
-
Jungrae Kim, Michael Sullivan, and Mattan Erez. 2015. Bamboo ECC: Strong, safe, and flexible codes for reliable computer memory. In Proceedings of the 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA'15). IEEE, 101-112.
-
(2015)
Proceedings of the 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA'15)
, pp. 101-112
-
-
Kim, J.1
Sullivan, M.2
Erez, M.3
-
31
-
-
77950301303
-
Adaptive execution techniques of parallel programs for multiprocessors
-
May 2010
-
Jaejin Lee, Jung-Ho Park, Honggyu Kim, Changhee Jung, Daeseob Lim, and SangYong Han. 2010. Adaptive execution techniques of parallel programs for multiprocessors. Journal of Parallel and Distributed Computing 70, 5 (May 2010), 467-480.
-
(2010)
Journal of Parallel and Distributed Computing
, vol.70
, Issue.5
, pp. 467-480
-
-
Lee, J.1
Park, J.-H.2
Kim, H.3
Jung, C.4
Lim, D.5
Han, S.Y.6
-
33
-
-
84889094390
-
Epipe: A low-cost faulttolerance technique considering WCET constraints
-
November 2013
-
Jianli Li, Jingling Xue, Xinwei Xie, QingWan, Qingping Tan, and Lanfang Tan. 2013. Epipe: A low-cost faulttolerance technique considering WCET constraints. Journal of System Architecture 59, 10 (November 2013), 1383-1393. DOI:http://dx.doi.org/10.1016/j.sysarc.2013.06.003
-
(2013)
Journal of System Architecture
, vol.59
, Issue.10
, pp. 1383-1393
-
-
Li, J.1
Xue, J.2
Xie, X.3
Wan, Q.4
Tan, Q.5
Tan, L.6
-
34
-
-
52649105030
-
Online estimation of architectural vulnerability factor for soft errors
-
IEEE
-
Xiaodong Li, Sarita V. Adve, Pradip Bose, Jude Rivers, and others. 2008. Online estimation of architectural vulnerability factor for soft errors. In Proceedings of the 35th International Symposium on Computer Architecture, 2008 (ISCA'08). IEEE, 341-352.
-
(2008)
Proceedings of the 35th International Symposium on Computer Architecture, 2008 (ISCA'08)
, pp. 341-352
-
-
Li, X.1
Adve, S.V.2
Bose, P.3
Rivers, J.4
-
37
-
-
84950133125
-
Clover: Compiler directed lightweight soft error resilience
-
ACM, New York, NY, Article 2
-
Qingrui Liu, Changhee Jung, Dongyoon Lee, and Devesh Tiwari. 2015. Clover: Compiler directed lightweight soft error resilience. In Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM (LCTES'15). ACM, New York, NY, Article 2, 10 pages. DOI:http://dx.doi.org/10.1145/2670529.2754959
-
(2015)
Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM (LCTES'15)
, pp. 10
-
-
Liu, Q.1
Jung, C.2
Lee, D.3
Tiwari, D.4
-
38
-
-
85017209033
-
Compiler-directed lightweight checkpointing for fine-grained guaranteed soft error recovery
-
Qingrui Liu, Changhee Jung,Dongyoon Lee, andDevesh Tiwari. 2016a. Compiler-directed lightweight checkpointing for fine-grained guaranteed soft error recovery. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC'16).
-
(2016)
Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC'16)
-
-
Liu, Q.1
Jung, C.2
Lee, D.3
Tiwari, D.4
-
40
-
-
84912138346
-
Characterizing application memory error vulnerability to optimize datacenter cost via heterogeneous-reliability memory
-
IEEE
-
Yixin Luo, Sriram Govindan, Bikash Sharma, Mark Santaniello, Justin Meza, Aman Kansal, Jie Liu, Badriddine Khessib, Kushagra Vaid, and Onur Mutlu. 2014. Characterizing application memory error vulnerability to optimize datacenter cost via heterogeneous-reliability memory. In Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'14). IEEE, 467-478.
-
(2014)
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'14)
, pp. 467-478
-
-
Luo, Y.1
Govindan, S.2
Sharma, B.3
Santaniello, M.4
Meza, J.5
Kansal, A.6
Liu, J.7
Khessib, B.8
Vaid, K.9
Mutlu, O.10
-
41
-
-
4544296705
-
The use of triple-modular redundancy to improve computer reliability
-
1962
-
Robert E. Lyons and Wouter Vanderkulk. 1962. The use of triple-modular redundancy to improve computer reliability. IBM Journal of Research and Development 6, 2 (1962), 200-209.
-
(1962)
IBM Journal of Research and Development
, vol.6
, Issue.2
, pp. 200-209
-
-
Lyons, R.E.1
Vanderkulk, W.2
-
42
-
-
41349091201
-
Argus: Low-cost, comprehensive error detection in simple cores
-
IEEE
-
Albert Meixner, Michael E. Bauer, and Daniel J. Sorin. 2007. Argus: Low-cost, comprehensive error detection in simple cores. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, 2007 (MICRO'07). IEEE, 210-222.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, 2007 (MICRO'07)
, pp. 210-222
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.J.3
-
44
-
-
34547692962
-
Perturbationbased fault screening
-
IEEE
-
Paul Racunas, Kypros Constantinides, Srilatha Manne, and Shubhendu S. Mukherjee. 2007. Perturbationbased fault screening. In Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, 2007 (HPCA'07). IEEE, 169-180.
-
(2007)
Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, 2007 (HPCA'07)
, pp. 169-180
-
-
Racunas, P.1
Constantinides, K.2
Manne, S.3
Mukherjee, S.S.4
-
45
-
-
84961684168
-
Crosslayer software dependability on unreliable hardware
-
January 2016
-
S. Rehman, Kuan-Hsun Chen, F. Kriebel, A. Toma, M. Shafique, Jian-Jia Chen, and J. Henkel. 2016. Crosslayer software dependability on unreliable hardware. IEEE Transactions on Computers 65, 1 (January 2016), 80-94. DOI:http://dx.doi.org/10.1109/TC.2015.2417554
-
(2016)
IEEE Transactions on Computers
, vol.65
, Issue.1
, pp. 80-94
-
-
Rehman, S.1
Chen, K.-H.2
Kriebel, F.3
Toma, A.4
Shafique, M.5
Chen, J.-J.6
Henkel, J.7
-
46
-
-
84908395835
-
Reliability-driven software transformations for unreliable hardware
-
November 2014
-
S. Rehman, F. Kriebel, M. Shafique, and J. Henkel. 2014a. Reliability-driven software transformations for unreliable hardware. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33, 11 (November 2014), 1597-1610. DOI:http://dx.doi.org/10.1109/TCAD.2014.2341894
-
(2014)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.33
, Issue.11
, pp. 1597-1610
-
-
Rehman, S.1
Kriebel, F.2
Shafique, M.3
Henkel, J.4
-
47
-
-
84903145549
-
DTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects
-
ACM, New York, NY, Article 84
-
Semeen Rehman, Florian Kriebel, Duo Sun, Muhammad Shafique, and Jörg Henkel. 2014b. dTune: Leveraging reliable code generation for adaptive dependability tuning under process variation and aging-induced effects. In Proceedings of the 51st Annual Design Automation Conference (DAC'14). ACM, New York, NY, Article 84, 6 pages. DOI:http://dx.doi.org/10.1145/2593069.2593127
-
(2014)
Proceedings of the 51st Annual Design Automation Conference (DAC'14)
, pp. 6
-
-
Rehman, S.1
Kriebel, F.2
Sun, D.3
Shafique, M.4
Henkel, J.5
-
48
-
-
81355132234
-
Reliable software for unreliable hardware: Embedded code generation aiming at reliability
-
Robert P. Dick and Jan Madsen (Eds.) ACM
-
Semeen Rehman, Muhammad Shafique, Florian Kriebel, and Jrg Henkel. 2011. Reliable software for unreliable hardware: Embedded code generation aiming at reliability. In CODES+ISSS, Robert P. Dick and Jan Madsen (Eds.). ACM, 237-246.
-
(2011)
CODES+ISSS
, pp. 237-246
-
-
Rehman, S.1
Shafique, M.2
Kriebel, F.3
Henkel, J.4
-
49
-
-
34249775197
-
Automatic instruction-level software-only recovery
-
2007
-
George A. Reis, Jonathan Chang, and David I. August. 2007. Automatic instruction-level software-only recovery. IEEE Micro 27, 1 (2007), 36-47.
-
(2007)
IEEE Micro
, vol.27
, Issue.1
, pp. 36-47
-
-
Reis, G.A.1
Chang, J.2
August, D.I.3
-
50
-
-
27544438520
-
Design and evaluation of hybrid fault-detection systems
-
IEEE
-
George A. Reis, Jonathan Chang, Neil Vachharajani, Shubhendu S. Mukherjee, R. Rangan, and D. I. August. 2005a. Design and evaluation of hybrid fault-detection systems. In Proceedings of the 32nd International Symposium on Computer Architecture, 2005 (ISCA'05). IEEE, 148-159.
-
(2005)
Proceedings of the 32nd International Symposium on Computer Architecture, 2005 (ISCA'05)
, pp. 148-159
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Mukherjee, S.S.4
Rangan, R.5
August, D.I.6
-
51
-
-
33646829087
-
SWIFT: Software implemented fault tolerance
-
IEEE Computer Society
-
George A. Reis, Jonathan Chang, Neil Vachharajani, Ram Rangan, and David I. August. 2005b. SWIFT: Software implemented fault tolerance. In Proceedings of the International Symposium on Code Generation and Optimization. IEEE Computer Society, 243-254.
-
(2005)
Proceedings of the International Symposium on Code Generation and Optimization
, pp. 243-254
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
-
53
-
-
34147197380
-
An experimental study of soft errors in microprocessors
-
2005
-
Giacinto Paolo Saggese, Nicholas J. Wang, Zbigniew Kalbarczyk, Sanjay J. Patel, and Ravishankar K. Iyer. 2005. An experimental study of soft errors in microprocessors. IEEE Micro 25, 6 (2005), 30-39.
-
(2005)
IEEE Micro
, vol.25
, Issue.6
, pp. 30-39
-
-
Saggese, G.P.1
Wang, N.J.2
Kalbarczyk, Z.3
Patel, S.J.4
Iyer, R.K.5
-
54
-
-
53349128424
-
Using likely program invariants to detect hardware errors
-
IEEE
-
Swamp Kumar Sahoo, Man-Lap Li, Pradeep Ramachandran, Sarita V. Adve, Vikram S. Adve, and Yuanyuan Zhou. 2008. Using likely program invariants to detect hardware errors. In Proceedings of the IEEE International Conference on Dependable Systems and Networks With FTCS and DCC, 2008 (DSN'08). IEEE, 70-79.
-
(2008)
Proceedings of the IEEE International Conference on Dependable Systems and Networks with FTCS and DCC, 2008 (DSN'08)
, pp. 70-79
-
-
Sahoo, S.K.1
Li, M.-L.2
Ramachandran, P.3
Adve, S.V.4
Adve, V.S.5
Zhou, Y.6
-
55
-
-
84879061413
-
Relyzer: Application resiliency analyzer for transient faults
-
2013
-
Siva Kumar Sastry Hari, Sarita V. Adve, Helia Naeimi, and Prasadh Ramachandran. 2013. Relyzer: Application resiliency analyzer for transient faults. IEEE Micro 33, 3 (2013), 58-66.
-
(2013)
IEEE Micro
, vol.33
, Issue.3
, pp. 58-66
-
-
Hari, S.K.S.1
Adve, S.V.2
Naeimi, H.3
Ramachandran, P.4
-
56
-
-
76749147937
-
MSWAT: Low-cost hardware fault detection and diagnosis for multicore systems
-
ACM
-
Siva Kumar Sastry Hari,Man-Lap Li, Pradeep Ramachandran, Byn Choi, and Sarita V. Adve. 2009.mSWAT: Low-cost hardware fault detection and diagnosis for multicore systems. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 122-132.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 122-132
-
-
Hari, S.K.S.1
Li, M.-L.2
Ramachandran, P.3
Choi, B.4
Adve, S.V.5
-
57
-
-
84903155149
-
The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives
-
Muhammad Shafique, Siddharth Garg, Jörg Henkel, and Diana Marculescu. 2014. The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives. In Proceedings of the 51st Annual Design Automation Conference on Design Automation Conference (DAC'14). 185:1-185:6.
-
(2014)
Proceedings of the 51st Annual Design Automation Conference on Design Automation Conference (DAC'14)
, pp. 1851-1856
-
-
Shafique, M.1
Garg, S.2
Henkel, J.3
Marculescu, D.4
-
58
-
-
84879876348
-
Exploiting programlevel masking and error propagation for constrained reliability optimization
-
ACM, New York, NY, Article 17
-
Muhammad Shafique, Semeen Rehman, Pau Vilimelis Aceituno, and JörgHenkel. 2013. Exploiting programlevel masking and error propagation for constrained reliability optimization. In Proceedings of the 50th Annual Design Automation Conference (DAC'13). ACM, New York, NY, Article 17, 9 pages. DOI:http://dx.doi.org/10.1145/2463209.2488755
-
(2013)
Proceedings of the 50th Annual Design Automation Conference (DAC'13)
, pp. 9
-
-
Shafique, M.1
Rehman, S.2
Aceituno, P.V.3
Henkel, J.4
-
59
-
-
84863554397
-
Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon Apocalypse
-
Michael B. Taylor. 2012. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon Apocalypse. In Proceedings of the 49th Annual Design Automation Conference (DAC'12). 1131-1136.
-
(2012)
Proceedings of the 49th Annual Design Automation Conference (DAC'12)
, pp. 1131-1136
-
-
Taylor, M.B.1
-
60
-
-
84864862268
-
Setting an error detection infrastructure with low cost acoustic wave detectors
-
Gaurang Upasani, Xavier Vera, and Antonio Gonzalez. 2012. Setting an error detection infrastructure with low cost acoustic wave detectors. In ISCA. 333-343.
-
(2012)
ISCA
, pp. 333-343
-
-
Upasani, G.1
Vera, X.2
Gonzalez, A.3
-
61
-
-
84885237368
-
Reducing DUE-FIT of caches by exploiting acoustic wave detectors for error recovery
-
Gaurang Upasani, Xavier Vera, and Antonio Gonzalez. 2013. Reducing DUE-FIT of caches by exploiting acoustic wave detectors for error recovery. In IOLTS. 85-91.
-
(2013)
IOLTS
, pp. 85-91
-
-
Upasani, G.1
Vera, X.2
Gonzalez, A.3
-
62
-
-
84905453254
-
Avoiding core's DUE & SDC via acoustic wave detectors and tailored error containment and recovery
-
Gaurang Upasani, Xavier Vera, and Antonio Gonzalez. 2014a. Avoiding core's DUE & SDC via acoustic wave detectors and tailored error containment and recovery. In ISCA. 37-48.
-
(2014)
ISCA
, pp. 37-48
-
-
Upasani, G.1
Vera, X.2
Gonzalez, A.3
-
64
-
-
84961727717
-
A case for acousticwave detectors for soft-errors
-
2016
-
GaurangUpasani, Xavier Vera, and Antonio Gonzalez. 2016. A case for acousticwave detectors for soft-errors. IEEE Transactions on Computing 65, 1 (2016), 5-18.
-
(2016)
IEEE Transactions on Computing
, vol.65
, Issue.1
, pp. 5-18
-
-
Xavier Vera, G.1
Gonzalez, A.2
-
65
-
-
84886455941
-
Implications of the power wall: Dim cores and reconfigurable logic
-
2013
-
Liang Wang and Kevin Skadron. 2013. Implications of the power wall: Dim cores and reconfigurable logic. IEEE Micro 33, 5 (2013), 40-48.
-
(2013)
IEEE Micro
, vol.33
, Issue.5
, pp. 40-48
-
-
Wang, L.1
Skadron, K.2
-
66
-
-
33748113790
-
ReStore: Symptom-based soft error detection in microprocessors
-
2006
-
Nicholas J.Wang and Sanjay J. Patel. 2006. ReStore: Symptom-based soft error detection in microprocessors. IEEE Transactions on Dependable and Secure Computing 3, 3 (2006), 188-201.
-
(2006)
IEEE Transactions on Dependable and Secure Computing
, vol.3
, Issue.3
, pp. 188-201
-
-
Wang, N.J.1
Patel, S.J.2
-
67
-
-
77949732979
-
Virtualized and flexible ECC for main memory
-
2010
-
Doe Hyun Yoon and Mattan Erez. 2010. Virtualized and flexible ECC for main memory. ACM SIGARCH Computer Architecture News 38 (2010), 397-408.
-
(2010)
ACM SIGARCH Computer Architecture News
, vol.38
, pp. 397-408
-
-
Yoon, D.H.1
Erez, M.2
|