-
1
-
-
84886455941
-
Implications of the power wall: Dim cores and reconfigurable logic
-
L. Wang and K. Skadron, "Implications of the power wall: Dim cores and reconfigurable logic, " IEEE Micro, pp. 40-48, 2013.
-
(2013)
IEEE Micro
, pp. 40-48
-
-
Wang, L.1
Skadron, K.2
-
2
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, D. Burger, "Dark silicon and the end of multicore scaling, " in Proceedings of the 38th Annual International Symposium on Computer Architecture, ISCA '11, pp. 365-376, 2011.
-
(2011)
Proceedings of the 38th Annual International Symposium On Computer Architecture, ISCA '11
, pp. 365-376
-
-
Esmaeilzadeh, H.1
Blem, E.2
St Amant, R.3
Sankaralingam, K.4
Burger, D.5
-
3
-
-
79961040286
-
Toward dark silicon in servers
-
N. Hardavellas, M. Ferdman, B. Falsafi, A. Ailamaki, "Toward dark silicon in servers, " IEEE Micro, vol. 31, no. 4, pp. 6-15, 2011.
-
(2011)
IEEE Micro
, vol.31
, Issue.4
, pp. 6-15
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
4
-
-
84863554397
-
Is dark silicon useful: Harnessing the four horsemen of the coming dark silicon apocalypse
-
M. B. Taylor, "Is dark silicon useful: Harnessing the four horsemen of the coming dark silicon apocalypse, " in Proceedings of the 49th Annual Design Automation Conference, DAC '12, pp. 1131-1136, 2012.
-
(2012)
Proceedings of the 49th Annual Design Automation Conference, DAC '12
, pp. 1131-1136
-
-
Taylor, M.B.1
-
5
-
-
84923331748
-
-
tech. rep., U. S. Department of Energy ASCAC Subcommittee, Boston, MA, USA, Feburary
-
R. Lucas, J. Ang, K. Bergman, S. Borkar, W. Carlson, L. Carrington, G. Chiu, R. Colwell, W. Dally, J. Dongarra, A. Geist, G. Grider, R. Haring, J. Hittinger, A. Hoisie, D. Klein, P. Kogge, R. Lethin, V. Sarkar, R. Schreiber, J. Shalf, T. Sterling, R. Stevens, "Top ten exascale research challenges, " tech. rep., U. S. Department of Energy ASCAC Subcommittee, Boston, MA, USA, Feburary 2014.
-
(2014)
Top Ten Exascale Research Challenges
-
-
Lucas, R.1
Ang, J.2
Bergman, K.3
Borkar, S.4
Carlson, W.5
Carrington, L.6
Chiu, G.7
Colwell, R.8
Dally, W.9
Dongarra, J.10
Geist, A.11
Grider, G.12
Haring, R.13
Hittinger, J.14
Hoisie, A.15
Klein, D.16
Kogge, P.17
Lethin, R.18
Sarkar, V.19
Schreiber, R.20
Shalf, J.21
Sterling, T.22
Stevens, R.23
more..
-
8
-
-
85080723051
-
-
tech. rep., Lawrence Livermore National Laboratory
-
J. Ang, B. Carnes, P. Chiang, D. Doerfler, S. Dosanjh, P. Fields, K. Koch, J. Laros, M. Leininger, J. Noe, T. Quinn, J. Torrellas, J. Vetter, C. Wampler, A. White, "Exascale hardware architectures working group, " tech. rep., Lawrence Livermore National Laboratory, 2011.
-
(2011)
Exascale Hardware Architectures Working Group
-
-
Ang, J.1
Carnes, B.2
Chiang, P.3
Doerfler, D.4
Dosanjh, S.5
Fields, P.6
Koch, K.7
Laros, J.8
Leininger, M.9
Noe, J.10
Quinn, T.11
Torrellas, J.12
Vetter, J.13
Wampler, C.14
White, A.15
-
9
-
-
84906679362
-
Exascale programming challenges
-
Marina del Rey, CA, USA, U. S. Department of Energy, Office of Science, Office of Advanced Scientific Computing Research (ASCR), Jul
-
S. Amarasinghe, M. Hall, R. Lethin, K. Pingali, D. Quinlan, V. Sarkar, J. Shalf, R. Lucas, K. Yelick, P. Balanji, P. C. Diniz, A. Koniges, M. Snir, "Exascale programming challenges, " in Proceedings of the Workshop on Exascale Programming Challenges, Marina del Rey, CA, USA, U. S Department of Energy, Office of Science, Office of Advanced Scientific Computing Research (ASCR), Jul 2011.
-
(2011)
Proceedings of the Workshop On Exascale Programming Challenges
-
-
Amarasinghe, S.1
Hall, M.2
Lethin, R.3
Pingali, K.4
Quinlan, D.5
Sarkar, V.6
Shalf, J.7
Lucas, R.8
Yelick, K.9
Balanji, P.10
Diniz, P.C.11
Koniges, A.12
Snir, M.13
-
10
-
-
77954734639
-
-
S. Amarasinghe, D. Campbell, W. Carlson, A. Chien, W. Dally, E. Elnohazy, R. Harrison, W. Harrod, J. Hiller, S. Karp, C. Koelbel, D. Koester, P. Kogge, J. Levesque, D. Reed, R. Schreiber, M. Richards, A. Scarpelli, J. Shalf, A. Snavely, T. Sterling, "Exascale software study: Software challenges in extreme scale systems, " 2009.
-
(2009)
Exascale Software Study: Software Challenges in Extreme Scale Systems
-
-
Amarasinghe, S.1
Campbell, D.2
Carlson, W.3
Chien, A.4
Dally, W.5
Elnohazy, E.6
Harrison, R.7
Harrod, W.8
Hiller, J.9
Karp, S.10
Koelbel, C.11
Koester, D.12
Kogge, P.13
Levesque, J.14
Reed, D.15
Schreiber, R.16
Richards, M.17
Scarpelli, A.18
Shalf, J.19
Snavely, A.20
Sterling, T.21
more..
-
11
-
-
84900560822
-
Addressing failures in exascale computing
-
M. Snir, R. W. Wisniewski, J. A. Abraham, V. Adve, S. Bagchi, P. Balaji, J. Belak, F. C. P. Bose, B. Carlson, A. A. Chien, P. Coteus, N. A. Debardeieben, P. Diniz, M. E. C. Engelmann, S. Fazzari, A. Geist, R. Gupta, F. Johnson, Krishnamoorthy, S. Leyffer, T. M. D. Liberty, Mitra, R. Schreiber, J. Stearley, E. V. Hensbergen, "Addressing failures in exascale computing, " "International Journal of High Performance Computing Applications", vol. 28, no. 2, 2014.
-
(2014)
International Journal of High Performance Computing Applications
, vol.28
, Issue.2
-
-
Snir, M.1
Wisniewski, R.W.2
Abraham, J.A.3
Adve, V.4
Bagchi, S.5
Balaji, P.6
Belak, J.7
Bose, F.C.P.8
Carlson, B.9
Chien, A.A.10
Coteus, P.11
Debardeieben, N.A.12
Diniz, P.13
Engelmann, M.E.C.14
Fazzari, S.15
Geist, A.16
Gupta, R.17
Johnson, F.18
Krishnamoorthy19
Leyffer, S.20
Liberty, T.M.D.21
Mitra22
Schreiber, R.23
Stearley, J.24
Hensbergen, E.V.25
more..
-
12
-
-
84910153509
-
Hardware fault recovery for i/o intensive applications
-
Oct.
-
P. Ramachandran, S. K. S. Hari, M. Li, S. V. Adve, "Hardware fault recovery for i/o intensive applications, " ACM Trans. Archit. Code Optim., vol. 11, pp. 33:1-33:25, Oct. 2014.
-
(2014)
ACM Trans. Archit. Code Optim.
, vol.11
, pp. 331-3325
-
-
Ramachandran, P.1
Hari, S.K.S.2
Li, M.3
Adve, S.V.4
-
13
-
-
84905453254
-
Avoiding core's due, sdc via acoustic wave detectors and tailored error containment and recovery
-
G. Upasani, X. Vera, A. Gonzalez, "Avoiding core's due, sdc via acoustic wave detectors and tailored error containment and recovery., " in ISCA, pp. 37-48, 2014.
-
(2014)
ISCA
, pp. 37-48
-
-
Upasani, G.1
Vera, X.2
Gonzalez, A.3
-
14
-
-
0036292677
-
Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
D. Sorin, M. Martin, M. Hill, D. Wood, "Safetynet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery, " in Computer Architecture, 2002. Proceedings. 29th Annual International Symposium on, pp. 123-134, 2002.
-
(2002)
Computer Architecture 2002. Proceedings. 29th Annual International Symposium On
, pp. 123-134
-
-
Sorin, D.1
Martin, M.2
Hill, M.3
Wood, D.4
-
15
-
-
0032667728
-
Ibm's s/390 g5 microprocessor design
-
Mar
-
T. Slegel, I. Averill, R. M., M. Check, B. Giamei, B. Krumm, C. Krygowski, W. Li, J. Liptay, J. MacDougall, T. McPherson, J. Navarro, E. Schwarz, K. Shum, C. Webb, "Ibm's s/390 g5 microprocessor design, " Micro, IEEE, vol. 19, pp. 12-23, Mar 1999.
-
(1999)
Micro IEEE
, vol.19
, pp. 12-23
-
-
Slegel, T.1
Averill, I.2
Check, M.3
Giamei, B.4
Krumm, B.5
Krygowski, C.6
Li, W.7
Liptay, J.8
MacDougall, J.9
McPherson, T.10
Navarro, J.11
Schwarz, E.12
Shum, K.13
Webb, C.14
-
16
-
-
84912118923
-
Lazy checkpointing: Exploiting temporal locality in failures to mitigate checkpointing overheads on extreme-scale systems
-
June
-
D. Tiwari, S. Gupta, S. S. Vazhkudai, "Lazy checkpointing: Exploiting temporal locality in failures to mitigate checkpointing overheads on extreme-scale systems, " in Dependable Systems and Networks (DSN), 2014 44th Annual IEEE/IFIP International Conference on, pp. 25-36, June 2014.
-
(2014)
Dependable Systems and Networks (DSN), 2014 44th Annual IEEE/IFIP International Conference On
, pp. 25-36
-
-
Tiwari, D.1
Gupta, S.2
Vazhkudai, S.S.3
-
17
-
-
84863433943
-
Static analysis and compiler design for idempotent processing
-
New York, NY, USA ACM
-
M. A. de Kruijf, K. Sankaralingam, S. Jha, "Static analysis and compiler design for idempotent processing, " in Proceedings of the 33rd ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDi '12, (New York, NY, USA), pp. 475-486, ACM, 2012.
-
(2012)
Proceedings of the 33rd ACM SIGPLAN Conference On Programming Language Design and Implementation, PLDi '12
, pp. 475-486
-
-
De Kruijf, M.A.1
Sankaralingam, K.2
Jha, S.3
-
18
-
-
84863372488
-
Encore: Low-cost, fine-grained transient fault recovery
-
ACM
-
S. Feng, S. Gupta, A. Ansari, S. A. Mahlke, D. I. August, "Encore: Low-cost, fine-grained transient fault recovery, " in Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 398-409, ACM, 2011.
-
(2011)
Proceedings of the 44th Annual IEEE/ACM International Symposium On Microarchitecture
, pp. 398-409
-
-
Feng, S.1
Gupta, S.2
Ansari, A.3
Mahlke, S.A.4
August, D.I.5
-
19
-
-
84952010111
-
Clover: Compiler directed lightweight soft error resilience
-
New York, NY, USA ACM
-
Q. Liu, C. Jung, D. Lee, D. Tiwari, "Clover: Compiler directed lightweight soft error resilience, " in Proceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM, LCTES'15, (New York, NY, USA), pp. 2:1-2:10, ACM, 2015.
-
(2015)
Proceedings of the 16th ACM SIGPLAN/SIGBED Conference On Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM, LCTES'15
, pp. 21-210
-
-
Liu, Q.1
Jung, C.2
Lee, D.3
Tiwari, D.4
-
20
-
-
85008950198
-
Compiler directed soft error detection and recovery to avoid due and sdc via tail-dmr
-
Q. Liu, C. Jung, D. Lee, D. Tiwari, "Compiler directed soft error detection and recovery to avoid due and sdc via tail-dmr, " ACM Transactions on Embedded Computing Systems (TECS), vol. XX, no. X, 2016.
-
(2016)
ACM Transactions On Embedded Computing Systems (TECS)
, vol.20
, Issue.10
-
-
Liu, Q.1
Jung, C.2
Lee, D.3
Tiwari, D.4
-
21
-
-
84876946033
-
Idempotent code generation: Implementation, analysis, evaluation
-
IEEE
-
M. de Kruijf and K. Sankaralingam, "Idempotent code generation: Implementation, analysis, evaluation, " in Code Generation and Optimization (CGO), 2013 IEEE/ACM International Symposium on, pp. 1-12, IEEE, 2013.
-
(2013)
Code Generation and Optimization (CGO), 2013 IEEE/ACM International Symposium On
, pp. 1-12
-
-
De Kruijf, M.1
Sankaralingam, K.2
-
22
-
-
84901624101
-
Globally precise-restartable execution of parallel programs
-
G. Gupta, S. Sridharan, G. S. Sohi, "Globally precise-restartable execution of parallel programs, " in Proceedings of the 35th ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDi '14, pp. 181-192, 2014.
-
(2014)
Proceedings of the 35th ACM SIGPLAN Conference On Programming Language Design and Implementation, PLDi '14
, pp. 181-192
-
-
Gupta, G.1
Sridharan, S.2
Sohi, G.S.3
-
23
-
-
84875667879
-
Conair: Featherweight concurrency bug recovery via single-threaded idempotent execution
-
W. Zhang, M. de Kruijf, A. Li, S. Lu, K. Sankaralingam, "Conair: Featherweight concurrency bug recovery via single-threaded idempotent execution, " in Proceedings of the Eighteenth International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS '13, pp. 113-126, 2013.
-
(2013)
Proceedings of the Eighteenth International Conference On Architectural Support for Programming Languages and Operating Systems, ASPLOS '13
, pp. 113-126
-
-
Zhang, W.1
De Kruijf, M.2
Li, A.3
Lu, S.4
Sankaralingam, K.5
-
24
-
-
84892770799
-
An application-assisted checkpoint-restart mechanism for Java applications
-
N. Tapus, D. Grigoras, R. Potolea, F. Pop, eds IEEE
-
D. A. Popescu, E.-D. Tirsa, M. I. Andreica, V. Cristea, "An application-assisted checkpoint-restart mechanism for java applications., " in International Symposium on Parallel and Distributed Computing (ISPDC) (N. Tapus, D. Grigoras, R. Potolea, F. Pop, eds. ), pp. 190-197, IEEE, 2013.
-
(2013)
International Symposium On Parallel and Distributed Computing (ISPDC)
, pp. 190-197
-
-
Popescu, D.A.1
Tirsa, E.-D.2
Andreica, M.I.3
Cristea, V.4
-
25
-
-
0023385308
-
The program dependence graph and its use in optimization
-
J. Ferrante, K. J. Ottenstein, J. D. Warren, "The program dependence graph and its use in optimization, " ACM Transactions on Programming Languages and Systems (TOPLAS), vol. 9, no. 3, pp. 319-349, 1987.
-
(1987)
ACM Transactions On Programming Languages and Systems (TOPLAS)
, vol.9
, Issue.3
, pp. 319-349
-
-
Ferrante, J.1
Ottenstein, K.J.2
Warren, J.D.3
-
26
-
-
77954968857
-
Relax: An architectural framework for software recovery of hardware faults
-
New York, NY, USA ACM
-
M. de Kruijf, S. Nomura, K. Sankaralingam, "Relax: An architectural framework for software recovery of hardware faults, " in Proceedings of the 37th Annual International Symposium on Computer Architecture, ISCA '10, (New York, NY, USA), pp. 497-508, ACM, 2010.
-
(2010)
Proceedings of the 37th Annual International Symposium On Computer Architecture, ISCA '10
, pp. 497-508
-
-
De Kruijf, M.1
Nomura, S.2
Sankaralingam, K.3
-
27
-
-
85080665101
-
-
ARM Version 1. 2
-
ARM, "Developer suite, " 2003. Version 1. 2.
-
(2003)
Developer Suite
-
-
-
28
-
-
33646910220
-
Increasing register file immunity to transient errors
-
G. Memik, M. T. Kandemir, O. Ozturk, "Increasing register file immunity to transient errors., " in DATE, pp. 586-591, 2005.
-
(2005)
DATE
, pp. 586-591
-
-
Memik, G.1
Kandemir, M.T.2
Ozturk, O.3
-
30
-
-
77949759608
-
Shoestring: Probabilistic soft error reliability on the cheap
-
New York, NY, USA ACM
-
S. Feng, S. Gupta, A. Ansari, S. Mahlke, "Shoestring: Probabilistic soft error reliability on the cheap, " in Proceedings of the Fifteenth Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems, ASPLOS XV, (New York, NY, USA), pp. 385-396, ACM, 2010.
-
(2010)
Proceedings of the Fifteenth Edition of ASPLOS On Architectural Support for Programming Languages and Operating Systems, ASPLOS XV
, pp. 385-396
-
-
Feng, S.1
Gupta, S.2
Ansari, A.3
Mahlke, S.4
-
33
-
-
84943385246
-
The transmeta code morphing, trade; Software: Using speculation, recovery, adaptive retranslation to address real-life challenges
-
J. C. Dehnert, B. K. Grant, J. P. Banning, R. Johnson, T. Kistler, A. Klaiber, J. Mattson, "The transmeta code morphing, trade; software: Using speculation, recovery, adaptive retranslation to address real-life challenges, " in Proceedings of the International Symposium on Code Generation and Optimization: Feedback-directed and Runtime Optimization, pp. 15-24, 2003.
-
(2003)
Proceedings of the International Symposium On Code Generation and Optimization: Feedback-directed and Runtime Optimization
, pp. 15-24
-
-
Dehnert, J.C.1
Grant, B.K.2
Banning, J.P.3
Johnson, R.4
Kistler, T.5
Klaiber, A.6
Mattson, J.7
-
35
-
-
85009374179
-
Low-cost soft error resilience with unified data verification and fine-grained recovery for acoustic sensor based detection
-
Q. Liu, C. Jung, D. Lee, D. Tiwari, "Low-cost soft error resilience with unified data verification and fine-grained recovery for acoustic sensor based detection, " in Proceedings of the 49th International Symposium on Microarchitecture (MICRO), 2016.
-
(2016)
Proceedings of the 49th International Symposium On Microarchitecture (MICRO)
-
-
Liu, Q.1
Jung, C.2
Lee, D.3
Tiwari, D.4
-
36
-
-
85050273691
-
Program slicing
-
Piscataway, NJ, USA IEEE Press
-
M. Weiser, "Program slicing, " in Proceedings of the 5th International Conference on Software Engineering, ICSE '81, (Piscataway, NJ, USA), pp. 439-449, IEEE Press, 1981.
-
(1981)
Proceedings of the 5th International Conference On Software Engineering, ICSE '81
, pp. 439-449
-
-
Weiser, M.1
-
37
-
-
84962779213
-
Mibench: A free, commercially representative embedded benchmark suite
-
IEEE
-
M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, R. B. Brown, "Mibench: A free, commercially representative embedded benchmark suite, " in Workload Characterization, 2001. WWC-4. 2001 IEEE International Workshop on, pp. 3-14, IEEE, 2001.
-
(2001)
Workload Characterization 2001. WWC-4. 2001 IEEE International Workshop On
, pp. 3-14
-
-
Guthaus, M.R.1
Ringenberg, J.S.2
Ernst, D.3
Austin, T.M.4
Mudge, T.5
Brown, R.B.6
-
38
-
-
0025228221
-
Interprocedural slicing using dependence graphs
-
S. Horwitz, T. Reps, D. Binkley, "Interprocedural slicing using dependence graphs, " ACM Transactions on Programming Languages and Systems (TOPLAS), vol. 12, no. 1, pp. 26-60, 1990.
-
(1990)
ACM Transactions On Programming Languages and Systems (TOPLAS)
, vol.12
, Issue.1
, pp. 26-60
-
-
Horwitz, S.1
Reps, T.2
Binkley, D.3
-
40
-
-
0026972782
-
Beyond induction variables
-
New York, NY, USA ACM
-
M. Wolfe, "Beyond induction variables, " in Proceedings of the ACM SIGPLAN 1992 Conference on Programming Language Design and Implementation, PLDi '92, (New York, NY, USA), pp. 162-174, ACM, 1992.
-
(1992)
Proceedings of the ACM SIGPLAN 1992 Conference On Programming Language Design and Implementation, PLDi '92
, pp. 162-174
-
-
Wolfe, M.1
-
41
-
-
3042658703
-
Llvm: A compilation framework for lifelong program analysis, transformation
-
Washington, DC, USA IEEE Computer Society
-
C. Lattner and V. Adve, "Llvm: A compilation framework for lifelong program analysis, transformation, " in Proceedings of the International Symposium on Code Generation and Optimization, CGO '04, (Washington, DC, USA), pp. 75-, IEEE Computer Society, 2004.
-
(2004)
Proceedings of the International Symposium On Code Generation and Optimization, CGO '04
, pp. 75
-
-
Lattner, C.1
Adve, V.2
-
42
-
-
33646829087
-
Swift: Software implemented fault tolerance
-
IEEE Computer Society
-
G. A. Reis, J. Chang, N. Vachharajani, R. Rangan, D. I. August, "Swift: Software implemented fault tolerance, " in Proceedings of the international symposium on Code generation and optimization, pp. 243-254, IEEE Computer Society, 2005.
-
(2005)
Proceedings of the International Symposium On Code Generation and Optimization
, pp. 243-254
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
-
43
-
-
41349091201
-
Argus: Low-cost, comprehensive error detection in simple cores
-
IEEE
-
A. Meixner, M. E. Bauer, D. J. Sorin, "Argus: Low-cost, comprehensive error detection in simple cores, " in Microarchitecture, 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium on, pp. 210-222, IEEE, 2007.
-
(2007)
Microarchitecture 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium On
, pp. 210-222
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.J.3
-
44
-
-
0032597692
-
AR-SMT: A microarchitectural approach to fault tolerance in microprocessors
-
E. Rotenberg, "AR-SMT: A microarchitectural approach to fault tolerance in microprocessors, " in International Symposium on Fault Tolerant Computing, pp. 84-91, 1999.
-
(1999)
International Symposium On Fault Tolerant Computing
, pp. 84-91
-
-
Rotenberg, E.1
-
45
-
-
84961727717
-
A case for acoustic wave detectors for soft-errors
-
Jan
-
G. Upasani, X. Vera, A. Gonzlez, "A case for acoustic wave detectors for soft-errors, " IEEE Transactions on Computers, vol. 65, pp. 5-18, Jan 2016.
-
(2016)
IEEE Transactions On Computers
, vol.65
, pp. 5-18
-
-
Upasani, G.1
Vera, X.2
Gonzlez, A.3
-
46
-
-
84859464490
-
The gem5 simulator
-
Aug.
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, D. A. Wood, "The gem5 simulator, " SIGARCH Comput. Archit. News, vol. 39, Aug. 2011.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
47
-
-
36849034066
-
Spec cpu2006 benchmark descriptions
-
J. L. Henning, "Spec cpu2006 benchmark descriptions, " ACM SIGARCH Computer Architecture News, vol. 34, no. 4, pp. 1-17, 2006.
-
(2006)
ACM SIGARCH Computer Architecture News
, vol.34
, Issue.4
, pp. 1-17
-
-
Henning, J.L.1
-
48
-
-
0031339427
-
Mediabench: A tool for evaluating and synthesizing multimedia and communicatons systems
-
Washington, DC, USA IEEE Computer Society
-
C. Lee, M. Potkonjak, W. H. Mangione-Smith, "Mediabench: A tool for evaluating and synthesizing multimedia and communicatons systems, " in Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture, MICRO 30, (Washington, DC, USA), pp. 330-335, IEEE Computer Society, 1997.
-
(1997)
Proceedings of the 30th Annual ACM/IEEE International Symposium On Microarchitecture, MICRO 30
, pp. 330-335
-
-
Lee, C.1
Potkonjak, M.2
Mangione-Smith, W.H.3
-
49
-
-
0029194459
-
The splash-2 programs: Characterization and methodological considerations
-
June
-
S. Woo, M. Ohara, E. Torrie, J. Singh, A. Gupta, "The splash-2 programs: Characterization and methodological considerations, " in Computer Architecture, 1995. Proceedings., 22nd Annual International Symposium on, pp. 24-36, June 1995.
-
(1995)
Computer Architecture 1995. Proceedings., 22nd Annual International Symposium On
, pp. 24-36
-
-
Woo, S.1
Ohara, M.2
Torrie, E.3
Singh, J.4
Gupta, A.5
-
50
-
-
34547692962
-
Perturbation-based fault screening
-
IEEE
-
P. Racunas, K. Constantinides, S. Manne, S. S. Mukherjee, "Perturbation-based fault screening, " in High Performance Computer Architecture, 2007. HPCA 2007. IEEE 13th International Symposium on, pp. 169-180, IEEE, 2007.
-
(2007)
High Performance Computer Architecture 2007. HPCA 2007. IEEE 13th International Symposium On
, pp. 169-180
-
-
Racunas, P.1
Constantinides, K.2
Manne, S.3
Mukherjee, S.S.4
-
51
-
-
84906665953
-
Framework for economical error recovery in embedded cores
-
IEEE
-
G. Upasani, X. Vera, A. Gonzalez, "Framework for economical error recovery in embedded cores, " in On-Line Testing Symposium (IOLTS), 2014 IEEE 20th International, pp. 146-153, IEEE, 2014.
-
(2014)
On-Line Testing Symposium (IOLTS), 2014 IEEE 20th International
, pp. 146-153
-
-
Upasani, G.1
Vera, X.2
Gonzalez, A.3
-
52
-
-
34249775197
-
Automatic instruction-level softwareonly recovery
-
Jan
-
G. Reis, J. Chang, D. August, "Automatic instruction-level softwareonly recovery, " Micro, IEEE, vol. 27, pp. 36-47, Jan 2007.
-
(2007)
Micro, IEEE
, vol.27
, pp. 36-47
-
-
Reis, G.1
Chang, J.2
August, D.3
|