-
1
-
-
66749099556
-
Garnet: A detailed interconnection network model inside a full-system simulation framework
-
AGARWAL, N., PEH, L.-S., AND JHA, N. 2007. Garnet: A detailed interconnection network model inside a full-system simulation framework. Tech. rep., Princeton University.
-
(2007)
Tech. Rep., Princeton University
-
-
Agarwal, N.1
Peh, L.-S.2
Jha, N.3
-
2
-
-
0033717865
-
Clock rate versus IPC: The end of the road for conventional microarchitectures
-
ACM, New York
-
AGARWAL, V., HRISHIKESH, M., KECKLER, S., AND BURGER, D. 2000. Clock rate versus IPC: The end of the road for conventional microarchitectures. In Proceedings of the 27th Annual International Symposium on Computer Architecture. ACM, New York.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture
-
-
Agarwal, V.1
Hrishikesh, M.2
Keckler, S.3
Burger, D.4
-
4
-
-
28444461065
-
Microarchitectural wire management for performance and power in partitioned architectures
-
IEEE, Los Alamitos, CA
-
BALASUBRAMONIAN, R.,MURALIMANOHAR, N., RAMANI, K., AND VENKATACHALAPATHY, V. 2005. Microarchitectural wire management for performance and power in partitioned architectures. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2005)
Proceedings of the 11th International Symposium on High-Performance Computer Architecture
-
-
Balasubramonian, R.1
Muralimanohar, N.2
Ramani, K.3
Venkatachalapathy, V.4
-
6
-
-
34548008288
-
ASR: Adaptive selective replication for CMP caches
-
IEEE, Los Alamitos, CA
-
BECKMANN, B. M., MARTY, M. R., AND WOOD, D. A. 2006. ASR: Adaptive selective replication for CMP caches. In Proceedings of the 39th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA.
-
(2006)
Proceedings of the 39th Annual International Symposium on Microarchitecture
-
-
Beckmann, B.M.1
Marty, M.R.2
Wood, D.A.3
-
8
-
-
49549108733
-
TILE64 processor: A 64-core SoC with mesh interconnect
-
IEEE, Los Alamitos, CA
-
BELL, S., EDWARDS, B., AMANN, J., CONLIN, R., JOYCE, K., LEUNG, V., MACKAY, J., REIF, M., BAO, L., ET AL. 2008. TILE64 processor: A 64-core SoC with mesh interconnect. In Proceedings of the International Solid-State Circuits Conference. IEEE, Los Alamitos, CA.
-
(2008)
Proceedings of the International Solid-State Circuits Conference
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
MacKay, J.7
Reif, M.8
Bao, L.9
-
9
-
-
28344456237
-
3D chip stack technology using through-chip interconnects
-
BENKART, P., KAISER, A., MUNDING, A., BSCHORR, M., PFLEIDERER, H.-J., KOHN, E., HEITTMANN, A., HUEBNER, H., AND RAMACHER, U. 2005. 3D chip stack technology using through-chip interconnects. IEEE Des. Test Comput. 22, 6, 512-518.
-
(2005)
IEEE Des. Test Comput.
, vol.22
, Issue.6
, pp. 512-518
-
-
Benkart, P.1
Kaiser, A.2
Munding, A.3
Bschorr, M.4
Pfleiderer, H.-J.5
Kohn, E.6
Heittmann, A.7
Huebner, H.8
Ramacher, U.9
-
10
-
-
51549095074
-
The PARSEC benchmark suite: Characterization and architectural implications
-
Princeton University
-
BIENIA, C., KUMAR, S., SINGH, J. P., AND LI, K. 2008. The PARSEC benchmark suite: Characterization and architectural implications. Tech. rep. TR-811-908, Princeton University.
-
(2008)
Tech. Rep. TR-811-908
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
11
-
-
33745800231
-
A survey of research and practices of network-on-chip
-
BJERREGAARD, T. AND MAHADEVAN, S. 2006. A survey of research and practices of network-on-chip. ACM Comput. Surv. 38, 1.
-
(2006)
ACM Comput. Surv.
, vol.38
, pp. 1
-
-
Bjerregaard, T.1
Mahadevan, S.2
-
12
-
-
44149099405
-
NoC topologies exploration based on mapping and simulation models
-
IEEE, Los Alamitos, CA
-
BONONI, L., CONCER, N., GRAMMATIKAKIS, M., COPPOLA, M., AND LOCATELLI, R. 2007. NoC topologies exploration based on mapping and simulation models. In Proceedings of the 10th Conference on Digital System Design Architectures, Methods and Tools. IEEE, Los Alamitos, CA.
-
(2007)
Proceedings of the 10th Conference on Digital System Design Architectures, Methods and Tools
-
-
Bononi, L.1
Concer, N.2
Grammatikakis, M.3
Coppola, M.4
Locatelli, R.5
-
14
-
-
33845889046
-
Interconnect-aware coherence protocols for chip multiprocessors
-
ACM, New York
-
CHENG, L., MURALIMANOHAR, N., RAMANI, K., BALASUBRAMONIAN, R., AND CARTER, J. B. 2006. Interconnect-aware coherence protocols for chip multiprocessors. In Proceedings of the 33rd Anuual International Symposium on Computer Architecture. ACM, New York.
-
(2006)
Proceedings of the 33rd Anuual International Symposium on Computer Architecture
-
-
Cheng, L.1
Muralimanohar, N.2
Ramani, K.3
Balasubramonian, R.4
Carter, J.B.5
-
15
-
-
62349086227
-
Express cubes: Improving the performance of k-ary n-cube interconnection networks
-
DALLY, W. 1991. Express cubes: Improving the performance of k-ary n-cube interconnection networks. IEEE Trans. Comput. 40, 9, 1016-1023.
-
(1991)
IEEE Trans. Comput.
, vol.40
, Issue.9
, pp. 1016-1023
-
-
Dally, W.1
-
18
-
-
64949130713
-
Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs
-
IEEE, Los Alamitos, CA
-
DAS, R.,EACHEMPATI, S.,MISHRA, A. K.,NARAYANAN, V., AND DAS, C. R. 2009. Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs. In Proceedings of the 15th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2009)
Proceedings of the 15th International Symposium on High-Performance Computer Architecture
-
-
Das, R.1
Eachempati, S.2
Mishra, A.K.3
Narayanan, V.4
Das, C.R.5
-
21
-
-
40349091835
-
In-network cache coherence
-
IEEE, Los Alamitos, CA
-
EISLEY, N., PEH, L.-S., AND SHANG, L. 2006. In-network cache coherence. In Proceedings of the 39th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA.
-
(2006)
Proceedings of the 39th Annual International Symposium on Microarchitecture
-
-
Eisley, N.1
Peh, L.-S.2
Shang, L.3
-
22
-
-
0030819327
-
Spider: A high-speed network interconnect
-
GALLES, M. 1997. Spider: A high-speed network interconnect. IEEE Micro 17, 1.
-
(1997)
IEEE Micro
, vol.17
, pp. 1
-
-
Galles, M.1
-
23
-
-
49549096253
-
A sub-1W to 2Wlow-power IA processor for mobile internet devices and ultra-mobile PCs in 45nm hi-K metal gate CMOS
-
IEEE, Los Alamitos, CA
-
GEROSA, G., CURTIS, S., D'ADDEO, M., JIANG, B., KUTTANNA, B.,MERCHANT, F., PATEL, B., TAUFIQUE, M., AND SAMARCHI,H. 2008. A sub-1W to 2Wlow-power IA processor for mobile internet devices and ultra-mobile PCs in 45nm hi-K metal gate CMOS. In Proceedings of the International Solid-State Circuits Conference. IEEE, Los Alamitos, CA.
-
(2008)
Proceedings of the International Solid-State Circuits Conference
-
-
Gerosa, G.1
Curtis, S.2
D'Addeo, M.3
Jiang, B.4
Kuttanna, B.5
Merchant, F.6
Patel, B.7
Taufique, M.8
Samarchi, H.9
-
24
-
-
64949096127
-
Express cube topologies for onchip interconnects
-
IEEE, Los Alamitos, CA
-
GROT, B., HESTNESS, J., KECKLER, S. W., AND MUTLU, O. 2009. Express cube topologies for onchip interconnects. In Proceedings of the 15th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2009)
Proceedings of the 15th International Symposium on High-Performance Computer Architecture
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
25
-
-
33646922057
-
The future of wires
-
HO, R.,MAI, K., AND HOROWITZ, M. 2001. The future of wires. Proc. IEEE. 89, 4, 24.
-
(2001)
Proc. IEEE.
, vol.89
, Issue.4
, pp. 24
-
-
Ho, R.1
Mai, K.2
Horowitz, M.3
-
26
-
-
32844471317
-
A NUCA substrate for flexible CMP cache sharing
-
ACM, New York
-
HUH, J., KIM, C., SHAFI, H., ZHANG, L., BURGER, D., AND KECKLER, S. W. 2005. A NUCA substrate for flexible CMP cache sharing. In Proceedings of the 19th Annual International Conference on Super-Computing. ACM, New York.
-
(2005)
Proceedings of the 19th Annual International Conference on Super-Computing
-
-
Huh, J.1
Kim, C.2
Shafi, H.3
Zhang, L.4
Burger, D.5
Keckler, S.W.6
-
29
-
-
33748870740
-
Last level cache performance of data mining workloads on a CMP
-
IEEE, Los Alamitos, CA
-
JALEEL, A.,MATTINA, M., AND JACOB, B. 2006. Last level cache performance of data mining workloads on a CMP. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2006)
Proceedings of the 12th International Symposium on High-Performance Computer Architecture
-
-
Jaleel, A.1
Mattina, M.2
Jacob, B.3
-
30
-
-
34547657571
-
A domain-specific on-chip network design for large scale cache systems
-
IEEE, Los Alamitos, CA
-
JIN, Y., KIM, E. J., AND YUM, K. H. 2007. A domain-specific on-chip network design for large scale cache systems. In Proceedings of the 13th International on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2007)
Proceedings of the 13th International on High-Performance Computer Architecture
-
-
Jin, Y.1
Kim, E.J.2
Yum, K.H.3
-
31
-
-
0025429331
-
Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers
-
ACM, New York
-
JOUPPI, N. P. 1990. Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. In Proceedings of the 17th Annual International Symposium on Computer Architecture. ACM, New York.
-
(1990)
Proceedings of the 17th Annual International Symposium on Computer Architecture
-
-
Jouppi, N.P.1
-
32
-
-
70350060187
-
Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration
-
ACM, New York
-
KAHNG, A., LI, B., PEH, L.-S., AND SAMADI, K. 2009. Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe. ACM, New York.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
33
-
-
0036949388
-
An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
-
ACM, New York
-
KIM, C., BURGER, D., AND KECKLER, S. W. 2002. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York.
-
(2002)
Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Kim, C.1
Burger, D.2
Keckler, S.W.3
-
34
-
-
47349129525
-
Flattened butterfly topology for on-chip networks
-
IEEE, Los Alamitos, CA
-
KIM, J., BALFOUR, J., AND DALLY, W. 2007. Flattened butterfly topology for on-chip networks. In Proceedings of the 40th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA.
-
(2007)
Proceedings of the 40th Annual International Symposium on Microarchitecture
-
-
Kim, J.1
Balfour, J.2
Dally, W.3
-
35
-
-
27944435722
-
A low latency router supporting adaptivity for on-chip interconnects
-
ACM, New York
-
KIM, J., PARK, D., THEOCHARIDES, T., VIJAYKRISHNAN, N., AND DAS, C. R. 2005. A low latency router supporting adaptivity for on-chip interconnects. In Proceedings of the 42nd Annual Conference on Design Automation. ACM, New York.
-
(2005)
Proceedings of the 42nd Annual Conference on Design Automation
-
-
Kim, J.1
Park, D.2
Theocharides, T.3
Vijaykrishnan, N.4
Das, C.R.5
-
36
-
-
52649174496
-
Polymorphic on-chip networks
-
ACM, New York
-
KIM, M. M., DAVIS, J. D., OSKIN, M., AND AUSTIN, T. 2008. Polymorphic on-chip networks. In Proceedings of the 35th Annual International Symposium on Computer Architecture. ACM, New York.
-
(2008)
Proceedings of the 35th Annual International Symposium on Computer Architecture
-
-
Kim, M.M.1
Davis, J.D.2
Oskin, M.3
Austin, T.4
-
37
-
-
66749104350
-
Token flow control
-
IEEE, Los Alamitos, CA
-
KUMAR, A., PEH, L.-S., AND JHA, N. K. 2008. Token flow control. In Proceedings of the 41th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA.
-
(2008)
Proceedings of the 41th Annual International Symposium on Microarchitecture
-
-
Kumar, A.1
Peh, L.-S.2
Jha, N.K.3
-
38
-
-
41349105530
-
Toward ideal on-chip communication using express virtual channels
-
KUMAR, A., PEH, L.-S., KUNDU, P., AND JHA, N. K. 2008. Toward ideal on-chip communication using express virtual channels. IEEE Micro. 28, 1.
-
(2008)
IEEE Micro.
, vol.28
, pp. 1
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
39
-
-
27544456315
-
Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling
-
ACM, New York
-
KUMAR, R., ZYUBAN, V., AND TULLSEN, D. M. 2005. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. In Proceedings of the 32nd Annual International Symposium on Computer Architecture. ACM, New York.
-
(2005)
Proceedings of the 32nd Annual International Symposium on Computer Architecture
-
-
Kumar, R.1
Zyuban, V.2
Tullsen, D.M.3
-
40
-
-
0022141776
-
Fat-trees: Universal networks for hardware-efficient super-computing
-
LEISERSON, C. E. 1985. Fat-trees: Universal networks for hardware-efficient super-computing. IEEE Trans. Comput. 34, 10, 892-901.
-
(1985)
IEEE Trans. Comput.
, vol.34
, Issue.10
, pp. 892-901
-
-
Leiserson, C.E.1
-
41
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset
-
MARTIN, M. M., SORIN, D. J., BECKMANN, B. M., MARTY, M. R., XU, M., ALAMELDEEN, A. R., MOORE, K. E., HILL, M. D., AND WOOD, D. A. 2005. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. Comput. Archit. News 33, 4, 92-99.
-
(2005)
Comput. Archit. News
, vol.33
, Issue.4
, pp. 92-99
-
-
Martin, M.M.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
42
-
-
64949183988
-
Prediction router: Yet another low latency on-chip router architecture
-
IEEE, Los Alamitos, CA
-
MATSUTANI, H., KOIBUCHI, M., AMANO, H., AND YOSHINAGA, T. 2009. Prediction router: Yet another low latency on-chip router architecture. In Proceedings of the 15th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2009)
Proceedings of the 15th International Symposium on High-Performance Computer Architecture
-
-
Matsutani, H.1
Koibuchi, M.2
Amano, H.3
Yoshinaga, T.4
-
43
-
-
4644301652
-
Low-latency virtual-channel routers for on-chip networks
-
ACM, New York
-
MULLINS, R., WEST, A., AND MOORE, S. 2004. Low-latency virtual-channel routers for on-chip networks. In Proceedings of the 31st Annual International Symposium on Computer Architecture. ACM, New York.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture
-
-
Mullins, R.1
West, A.2
Moore, S.3
-
44
-
-
41349122721
-
Architecting efficient interconnects for large caches with CACTI 6.0
-
MURALIMANOHAR, N., BALASUBRAMONIAN, R., AND JOUPPI, N. P. 2008. Architecting efficient interconnects for large caches with CACTI 6.0. IEEE Micro. 28, 1, 69-79.
-
(2008)
IEEE Micro.
, vol.28
, Issue.1
, pp. 69-79
-
-
Muralimanohar, N.1
Balasubramonian, R.2
Jouppi, N.P.3
-
45
-
-
77952075354
-
Design and implementation of Sun's Niagara2 processor
-
NAWATHE, U. 2007. Design and implementation of Sun's Niagara2 processor. Tech. rep., Sun Microsystems.
-
(2007)
Tech. Rep., Sun Microsystems
-
-
Nawathe, U.1
-
46
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
OWENS, J. D.,DALLY, W. J.,HO, R., JAYASIMHA, D. N.,KECKLER, S. W., AND PEH, L.-S. 2007. Research challenges for on-chip interconnection networks. IEEE Micro. 27, 5, 96-108.
-
(2007)
IEEE Micro.
, vol.27
, Issue.5
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayasimha, D.N.4
Keckler, S.W.5
Peh, L.-S.6
-
47
-
-
67649661466
-
-
HP Labs, Tech.rep. HPL-2008-2020
-
THOZIYOOR, S.,MURALIMANOHAR, N., AHN, J. H., AND JOUPPI, N. P. 2008. CACTI 5.1. Tech.rep. HPL-2008-2020, HP Labs.
-
(2008)
CACTI 5.1
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.H.3
Jouppi, N.P.4
-
48
-
-
33750908258
-
Implementation analysis of NoC: A MPSoC trace-driven approach
-
ACM, New York
-
TOTA, S., CASU, M. R., AND MACCHIARULO, L. 2006. Implementation analysis of NoC: a MPSoC trace-driven approach. In Proceedings of the 16th Great Lakes Symposium on VLSI. ACM, New York.
-
(2006)
Proceedings of the 16th Great Lakes Symposium on VLSI
-
-
Tota, S.1
Casu, M.R.2
MacChiarulo, L.3
-
50
-
-
84862144932
-
Power-driven design of router microarchitectures in onchip networks
-
IEEE, Los Alamitos, CA
-
WANG, H.,PEH, L.-S., ANDMALIK, S. 2003. Power-driven design of router microarchitectures in onchip networks. In Proceedings of the 36th Annual International Symposium on Microarchitecture. IEEE, Los Alamitos, CA.
-
(2003)
Proceedings of the 36th Annual International Symposium on Microarchitecture
-
-
Wang, H.1
Peh, L.-S.2
Malik, S.3
-
51
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
ACM, New York
-
WOO, S. C., OHARA, M., TORRIE, E., SINGH, J. P., AND GUPTA, A. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd Annual International Symposium on Computer Architecture. ACM, New York.
-
(1995)
Proceedings of the 22nd Annual International Symposium on Computer Architecture
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
52
-
-
65349146389
-
A low-radix and low-diameter 3D interconnection network design
-
IEEE, Los Alamitos, CA
-
XU, Y., DU, Y., ZHAO, B., ZHOU, X., ZHANG, Y., AND JUN, Y. 2009. A low-radix and low-diameter 3D interconnection network design. In Proceedings of the 13th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2009)
Proceedings of the 13th International Symposium on High-Performance Computer Architecture
-
-
Xu, Y.1
Du, Y.2
Zhao, B.3
Zhou, X.4
Zhang, Y.5
Jun, Y.6
|