-
1
-
-
0002681039
-
The Oxygen project - Raw computation
-
AGARWAL, A. 1999. The Oxygen project - Raw computation. Scientific American, 44-47.
-
(1999)
Scientific American
, pp. 44-47
-
-
Agarwal, A.1
-
2
-
-
33745778388
-
Hierarchical interconnects for on-chip clustering
-
IEEE Computer Society
-
AGGARWAL, A. AND FRANKLIN, M. 2002. Hierarchical interconnects for on-chip clustering. In Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS). IEEE Computer Society, 602-609.
-
(2002)
Proceedings of the
, vol.16
, pp. 602-609
-
-
Aggarwal, A.1
Franklin, M.2
-
3
-
-
19544384566
-
Topology optimization for application-specific networks-on-chip
-
ACM
-
AHONEN, T., SIGENZA-TORTOSA, D. A., BIN, H., AND NURMI, J. 2004. Topology optimization for application-specific networks-on-chip. In International Workshop on System Level Interconnect Prediction (SLIP). ACM, 53-60.
-
(2004)
International Workshop on System Level Interconnect Prediction (SLIP)
, pp. 53-60
-
-
Ahonen, T.1
Sigenza-Tortosa, D.A.2
Bin, H.3
Nurmi, J.4
-
4
-
-
0031094579
-
A survey and comparison of wormhole routing techniques in a mesh networks
-
AL-TAWIL, K. M., ABD-EL-BARR, M., AND ASHRAF, F. 1997. A survey and comparison of wormhole routing techniques in a mesh networks. IEEE Network 11, 38-45.
-
(1997)
IEEE Network
, vol.11
, pp. 38-45
-
-
Al-Tawil, K.M.1
Abd-El-Barr, M.2
Ashraf, F.3
-
5
-
-
19344376277
-
Asynchronous on-chip networks
-
AMDE, M., FELICIJAN, T., EDWARDS, A. E. D., AND LAVAGNO, L. 2005. Asynchronous on-chip networks. IEE Proceedings of Computers and Digital Techniques 152, 273-283.
-
(2005)
IEE Proceedings of Computers and Digital Techniques
, vol.152
, pp. 273-283
-
-
Amde, M.1
Felicijan, T.2
Edwards, A.E.D.3
Lavagno, L.4
-
6
-
-
33745791682
-
On improving best-effort throughput by better utilization of guaranteed-throughput channels in an on-chip communication system
-
ANDREASSON, D. AND KUMAR, S. 2004. On improving best-effort throughput by better utilization of guaranteed-throughput channels in an on-chip communication system. In Proceeding of 22th IEEE Norchip Conference.
-
(2004)
Proceeding of 22th IEEE Norchip Conference.
-
-
Andreasson, D.1
Kumar, S.2
-
10
-
-
84858917382
-
A comparison of network-on-chip and busses
-
ARTERIS. 2005. A comparison of network-on-chip and busses. White paper. http://www.arteris.com/noc_whitepaper.pdf.
-
(2005)
White Paper
-
-
-
11
-
-
33745773033
-
-
NASA Ames Research Center
-
BAILEY, D., BARSZCZ, E., BARTON, J., BROWNING, D., CARTER, R., DAGUM, L., FATOOHI, R., FINEBERG, S., FREDERICKSON, P., LASINSKI, T., SCHREIBER, R., SIMON, H., VENKATAKRISHNAN, V., AND WEERATUNGA, S. 1994. RNR Tech. rep. RNR-94-007. NASA Ames Research Center.
-
(1994)
RNR Tech. Rep.
, vol.RNR-94-007
-
-
Bailey, D.1
Barszcz, E.2
Barton, J.3
Browning, D.4
Carter, R.5
Dagum, L.6
Fatoohi, R.7
Fineberg, S.8
Frederickson, P.9
Lasinski, T.10
Schreiber, R.11
Simon, H.12
Venkatakrishnan, V.13
Weeratunga, S.14
-
12
-
-
0036761283
-
CHAIN: A delay-insensitive chip area interconnect
-
BAINBRIDGE, J. AND FURBER, S. 2002. CHAIN: A delay-insensitive chip area interconnect. IEEE Micro 22, 5 (Oct.) 16-23.
-
(2002)
IEEE Micro
, vol.22
, Issue.5 OCT
, pp. 16-23
-
-
Bainbridge, J.1
Furber, S.2
-
14
-
-
3042565282
-
A power and performance model for network-on-chip architectures
-
IEEE
-
BANERJEE, N., VELLANKI, P., AND CHATHA, K. S. 2004. A power and performance model for network-on-chip architectures. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 1250-1255.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 1250-1255
-
-
Banerjee, N.1
Vellanki, P.2
Chatha, K.S.3
-
15
-
-
28444486004
-
An asynchronous NOC architecture providing low latency service and its multi-level design framework
-
IEEE
-
BEIGNE, E., CLERMIDY, F., VIVET, P., CLOUARD, A., AND RENAUDIN, M. 2005. An asynchronous NOC architecture providing low latency service and its multi-level design framework. In Proceedings of the 11th International Symposium on Asynchronous Circuits and Systems (ASYNC). IEEE, 54-63.
-
(2005)
Proceedings of the 11th International Symposium on Asynchronous Circuits and Systems (ASYNC)
, pp. 54-63
-
-
Beigne, E.1
Clermidy, F.2
Vivet, P.3
Clouard, A.4
Renaudin, M.5
-
17
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
BENINI, L. AND MICHELI, G. D. 2002. Networks on chips: A new SoC paradigm. IEEE Comput. 35, 1 (Jan.), 70-78.
-
(2002)
IEEE Comput.
, vol.35
, Issue.1 JAN
, pp. 70-78
-
-
Benini, L.1
Micheli, G.D.2
-
18
-
-
14844365666
-
NoC synthesis flow for customized domain specific multiprocessor Systems-on-chip
-
BERTOZZI, D., JALABERT, A., MURALI, S., TAMHANKAR, R., STERGIOU, S., BENINI, L., AND DE MICHELI, G. 2005. NoC synthesis flow for customized domain specific multiprocessor Systems-on-Chip. In IEEE Trans. Parall. Distrib. Syst. 113-129.
-
(2005)
IEEE Trans. Parall. Distrib. Syst.
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
20
-
-
33745794207
-
-
Ph.D. thesis, Informatics and Mathematical Modeling, Technical University of Denmark, Lyngby, Denmark
-
BJERREGAARD, T. 2005. The MANGO clockless network-on-chip: Concepts and implementation. Ph.D. thesis, Informatics and Mathematical Modeling, Technical University of Denmark, Lyngby, Denmark.
-
(2005)
The MANGO Clockless Network-on-chip: Concepts and Implementation
-
-
Bjerregaard, T.1
-
21
-
-
33847198299
-
An OCP compliant network adapter for gals-based soc design using the MANGO network-on-chip
-
IEEE
-
BJERREGAARD, T., MAHADEVAN, S., OLSEN, R. G., AND SPARSØ, J. 2005. An OCP compliant network adapter for gals-based soc design using the MANGO network-on-chip. In Proceedings of International Symposium on System-on-Chip (ISSoC). IEEE.
-
(2005)
Proceedings of International Symposium on System-on-chip (ISSoC)
-
-
Bjerregaard, T.1
Mahadevan, S.2
Olsen, R.G.3
Sparsø, J.4
-
22
-
-
35048822574
-
A channel library for asynchronous circuit design supporting mixed-mode modeling
-
Springer
-
BJERREGAARD, T., MAHADEVAN, S., AND SPARSØ, J. 2004. A channel library for asynchronous circuit design supporting mixed-mode modeling. In Proceedings of the 14th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). Springer, 301-310.
-
(2004)
Proceedings of the 14th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)
, pp. 301-310
-
-
Bjerregaard, T.1
Mahadevan, S.2
Sparsø, J.3
-
26
-
-
1242309790
-
QNoC: QoS architecture and design process for network-on-chip
-
BOLOTIN, E., CIDON, I., GINOSAUR, R., AND KOLODNY, A. 2004. QNoC: QoS architecture and design process for network-on-chip. J. Syst. Archit. 50, 2-3, 105-128.
-
(2004)
J. Syst. Archit.
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosaur, R.3
Kolodny, A.4
-
27
-
-
3042656852
-
How can system level design solve the interconnect technology scaling problem
-
IEEE
-
CATTHOOR, F., CUOMO, A., MARTIN, G., GROENEVELD, P., RUDY, L., MAEX, K., DE STEEG, P. V., AND WILSON, R. 2004. How can system level design solve the interconnect technology scaling problem. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 332-337.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 332-337
-
-
Catthoor, F.1
Cuomo, A.2
Martin, G.3
Groeneveld, P.4
Rudy, L.5
Maex, K.6
De Steeg, P.V.7
Wilson, R.8
-
29
-
-
0034853842
-
Robust interfaces for mixed-timing systems with application to latency-insensitive protocols
-
IEEE
-
CHELCEA, T. AND NOWICK, S. M. 2001. Robust interfaces for mixed-timing systems with application to latency-insensitive protocols. In Proceedings of the 38th Design Automation Conference (DAC). IEEE, 21-26.
-
(2001)
Proceedings of the 38th Design Automation Conference (DAC)
, pp. 21-26
-
-
Chelcea, T.1
Nowick, S.M.2
-
30
-
-
0034226899
-
The odd-even turn model for adaptive routing
-
CHIU, G.-M. 2000. The odd-even turn model for adaptive routing. IEEE Trans. Parall. Distrib. Syst. 11, 729-738.
-
(2000)
IEEE Trans. Parall. Distrib. Syst.
, vol.11
, pp. 729-738
-
-
Chiu, G.-M.1
-
31
-
-
0035251054
-
On the benefit of supporting virtual channels in wormhole routers
-
COLE, R. J., MAGGS, B. M., AND SITARAMAN, R. K. 2001. On the benefit of supporting virtual channels in wormhole routers. J. Comput. Syst. Sciences 62, 152-177.
-
(2001)
J. Comput. Syst. Sciences
, vol.62
, pp. 152-177
-
-
Cole, R.J.1
Maggs, B.M.2
Sitaraman, R.K.3
-
33
-
-
0025448089
-
Performance analysis of k-ary n-cube interconnection networks
-
DALLY, W. J. 1990. Performance analysis of k-ary n-cube interconnection networks. IEEE Trans. Comput. 39, 6 (June) 775-785.
-
(1990)
IEEE Trans. Comput.
, vol.39
, Issue.6 JUNE
, pp. 775-785
-
-
Dally, W.J.1
-
34
-
-
0026825968
-
Virtual-channel flow control
-
DALLY, W. J. 1992. Virtual-channel flow control. IEEE Trans. Parall. Distrib. Syst. 3, 2 (March) 194-205.
-
(1992)
IEEE Trans. Parall. Distrib. Syst.
, vol.3
, Issue.2 MARCH
, pp. 194-205
-
-
Dally, W.J.1
-
35
-
-
0027579765
-
Deadlock-free adaptive routing in multicomputer networks using virtual channels
-
DALLY, W. J. AND AOKI, H. 1993. Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans. Parall. Distrib. Syst. 4, 4 (April) 466-475.
-
(1993)
IEEE Trans. Parall. Distrib. Syst.
, vol.4
, Issue.4 APRIL
, pp. 466-475
-
-
Dally, W.J.1
Aoki, H.2
-
36
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
DALLY, W. J. AND SEITZ, C. L. 1987. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. 36, 5 (May) 547-553.
-
(1987)
IEEE Trans. Comput.
, vol.36
, Issue.5 MAY
, pp. 547-553
-
-
Dally, W.J.1
Seitz, C.L.2
-
38
-
-
48049124464
-
Evaluation of routing algorithms on mesh based noes
-
Faculdade de Informatica PUCRS - Brazil
-
DE MELLO, A. V., OST, L. C., MORAES, P. G., AND CALAZANS, N. L. V. 2004. Evaluation of routing algorithms on mesh based noes. Tech. rep., Faculdade de Informatica PUCRS - Brazil.
-
(2004)
Tech. Rep.
-
-
De Mello, A.V.1
Ost, L.C.2
Moraes, P.G.3
Calazans, N.L.V.4
-
40
-
-
63449112639
-
Concepts and implementation of the phillips network-on-chip
-
IFIP
-
DIELISSEN, J., RADULESCU, A., GOOSSENS, K., AND RLJPKEMA, E. 2003. Concepts and implementation of the phillips network-on-chip. In Proceedings of the IP based SOC (IPSOC). IFIP.
-
(2003)
Proceedings of the IP Based SOC (IPSOC)
-
-
Dielissen, J.1
Radulescu, A.2
Goossens, K.3
Rljpkema, E.4
-
41
-
-
0029409835
-
Regenerative feedback repeaters for programmable interconnections
-
DOBBELAERE, I., HOROWITZ, M., AND GAMAL, A. E. 1995. Regenerative feedback repeaters for programmable interconnections. IEEE J. Solid-State Circuits 30, 11 (Nov.) 1246-1253.
-
(1995)
IEEE J. Solid-state Circuits
, vol.30
, Issue.11 NOV
, pp. 1246-1253
-
-
Dobbelaere, I.1
Horowitz, M.2
Gamal, A.E.3
-
42
-
-
2942648452
-
Data synchronization issues in GALS SoCs
-
IEEE
-
DOBKIN, R., GINOSAUR, R., AND SOTIRIOU, C. P. 2004. Data synchronization issues in GALS SoCs. In Proceedings of the 10th IEEE International Symposium on Asynchronous Circuits and Systems. IEEE, 170-179.
-
(2004)
Proceedings of the 10th IEEE International Symposium on Asynchronous Circuits and Systems
, pp. 170-179
-
-
Dobkin, R.1
Ginosaur, R.2
Sotiriou, C.P.3
-
43
-
-
0027837827
-
A new theory of deadlock-free adaptive routing in wormhole networks
-
DUATO, J. 1993. A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans. Parall. Distrib. Syst. 4, 12 (Dec.) 1320-1331.
-
(1993)
IEEE Trans. Parall. Distrib. Syst.
, vol.4
, Issue.12 DEC
, pp. 1320-1331
-
-
Duato, J.1
-
44
-
-
0029390484
-
A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks
-
DUATO, J. 1995. A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks. IEEE Trans. Parall. Distrib. Syst. 6, 10 (Oct.) 1055-1067.
-
(1995)
IEEE Trans. Parall. Distrib. Syst.
, vol.6
, Issue.10 OCT
, pp. 1055-1067
-
-
Duato, J.1
-
45
-
-
0030215168
-
A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks
-
DUATO, J. 1996. A necessary and sufficient condition for deadlock-free routing in cut-through and store-and-forward networks. IEEE Trans. Parall. Distrib. Syst. 7, 8 (Aug.) 841-854.
-
(1996)
IEEE Trans. Parall. Distrib. Syst.
, vol.7
, Issue.8 AUG
, pp. 841-854
-
-
Duato, J.1
-
46
-
-
0035695821
-
A general theory for deadlock-free adaptive routing using a mixed set of resources
-
DUATO, J. AND PINKSTON, T. M. 2001. A general theory for deadlock-free adaptive routing using a mixed set of resources. IEEE Trans. Parall. Distrib. Syst. 12, 12 (Dec.) 1219-1235.
-
(2001)
IEEE Trans. Parall. Distrib. Syst.
, vol.12
, Issue.12 DEC
, pp. 1219-1235
-
-
Duato, J.1
Pinkston, T.M.2
-
48
-
-
84945270100
-
An asynchronous low latency arbiter for quality of service (QoS) applications
-
IEEE
-
FELICIJAN, T., BAINBRIDGE, J., AND FURBER, S. 2003. An asynchronous low latency arbiter for quality of service (QoS) applications. In Proceedings of the 15th International Conference on Microelectronics (ICM). IEEE, 123-126.
-
(2003)
Proceedings of the 15th International Conference on Microelectronics (ICM)
, pp. 123-126
-
-
Felicijan, T.1
Bainbridge, J.2
Furber, S.3
-
49
-
-
14844314436
-
An asynchronous on-chip network router with quality-of-service (QoS) support
-
IEEE
-
FELICIJAN, T. AND FURBER, S. B. 2004. An asynchronous on-chip network router with quality-of-service (QoS) support. In Proceedings IEEE International SOC Conference. IEEE, 274-277.
-
(2004)
Proceedings IEEE International SOC Conference
, pp. 274-277
-
-
Felicijan, T.1
Furber, S.B.2
-
51
-
-
0036760609
-
A scalable high-performance computing solution for networks on chips
-
FORSELL, M. 2002. A scalable high-performance computing solution for networks on chips. IEEE Micro 22, 5, 46-55.
-
(2002)
IEEE Micro
, vol.22
, Issue.5
, pp. 46-55
-
-
Forsell, M.1
-
52
-
-
0030170426
-
Distributed, deadlock-free routing in faulty, pipelined, direct interconnection networks
-
GAUGHAN, P. T., DAO, B. V., YALAMANCHILI, S., AND SCHIMMEL, D. E. 1996. Distributed, deadlock-free routing in faulty, pipelined, direct interconnection networks. IEEE Trans. Comput. 45, 6 (June) 651-665.
-
(1996)
IEEE Trans. Comput.
, vol.45
, Issue.6 JUNE
, pp. 651-665
-
-
Gaughan, P.T.1
Dao, B.V.2
Yalamanchili, S.3
Schimmel, D.E.4
-
53
-
-
33745775177
-
A novel approach for network on chip emulation
-
IEEE
-
GENKO, N., ATIENZA, D., DE MICHELI, G., BENINI, L., MENDIAS, J., HERMIDA, R., AND CATTHOOR, F. 2005. A novel approach for network on chip emulation. In International Symposium on Circuits and Systems (ISCAS). IEEE, 2365-2368.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 2365-2368
-
-
Genko, N.1
Atienza, D.2
De Micheli, G.3
Benini, L.4
Mendias, J.5
Hermida, R.6
Catthoor, F.7
-
54
-
-
21644481777
-
Communication abstractions for system-level design and synthesis
-
Center for Embedded Computer Systems, University of California, Irvine, CA
-
GERSTLAUER, A. 2003. Communication abstractions for system-level design and synthesis. Tech. Rep. TR-03-30, Center for Embedded Computer Systems, University of California, Irvine, CA.
-
(2003)
Tech. Rep.
, vol.TR-03-30
-
-
Gerstlauer, A.1
-
56
-
-
0028513557
-
The turn model for adaptive routing
-
GLASS, C. J. AND Ni, L. M. 1994. The turn model for adaptive routing. J. ACM 41, 874-902.
-
(1994)
J. ACM
, vol.41
, pp. 874-902
-
-
Glass, C.J.1
Ni, L.M.2
-
57
-
-
27344448207
-
A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification
-
IEEE
-
GOOSSENS, K., DIELISSEN, J., GANGWAL, O. P., PESTANA, S. G., RADULESCU, A., AND RIJPKEMA, E. 2005. A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 1182-1187.
-
(2005)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 1182-1187
-
-
Goossens, K.1
Dielissen, J.2
Gangwal, O.P.3
Pestana, S.G.4
Radulescu, A.5
Rijpkema, E.6
-
58
-
-
27344456043
-
Æthereal network on chip: Concepts, architectures and implementations
-
GOOSSENS, K., DIELISSEN, J., AND RADULESCU, A. 2005. Æthereal network on chip: Concepts, architectures and implementations. IEEE Design Test Comput. 22, 5, 414-421.
-
(2005)
IEEE Design Test Comput.
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
59
-
-
84893737717
-
Networks on silicon: Combining best-effort and guaranteed services
-
IEEE
-
GOOSSENS, K., MEERBERGEN, J. V., PEETERS, A., AND WIELAGE, P. 2002. Networks on silicon: Combining best-effort and guaranteed services. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). IEEE, 196-200.
-
(2002)
Proceedings of the Design, Automation and Test in Europe Conference (DATE)
, pp. 196-200
-
-
Goossens, K.1
Meerbergen, J.V.2
Peeters, A.3
Wielage, P.4
-
61
-
-
0343825186
-
Contention-free communication scheduling for array redistribution
-
GUO, M., NAKATA, I., AND YAMASHITA, Y. 2000. Contention-free communication scheduling for array redistribution. Parall. Comput. 26, 1325-1343.
-
(2000)
Parall. Comput.
, vol.26
, pp. 1325-1343
-
-
Guo, M.1
Nakata, I.2
Yamashita, Y.3
-
62
-
-
27644490224
-
A unified approach to constrained mapping and routing on networks-on-chip architectures
-
ACM/IEEE
-
HANSSON, A., GOOSSENS, K., AND RADULESCU, A. 2005. A unified approach to constrained mapping and routing on networks-on-chip architectures. In CODES/ISSS. ACM/IEEE, 75-80.
-
(2005)
CODES/ISSS
, pp. 75-80
-
-
Hansson, A.1
Goossens, K.2
Radulescu, A.3
-
63
-
-
33750906036
-
Quantitative modeling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip
-
IEEE
-
HARMANCI, M., ESCUDERO, N., LEBLEBICI, Y., AND IENNE, P. 2005. Quantitative modeling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip. In International Sympo-sium on Circuits and Systems (ISCAS). IEEE, 1782-1785.
-
(2005)
International Sympo-sium on Circuits and Systems (ISCAS)
, pp. 1782-1785
-
-
Harmanci, M.1
Escudero, N.2
Leblebici, Y.3
Ienne, P.4
-
64
-
-
0029191713
-
Asynchronous design methodologies: An overview
-
HAUCK, S. 1995. Asynchronous design methodologies: an overview. Proceedings of the IEEE 83, 1 (Jan.) 69-93.
-
(1995)
Proceedings of the IEEE
, vol.83
, Issue.1 JAN
, pp. 69-93
-
-
Hauck, S.1
-
65
-
-
33747557398
-
High-performance interconnects: An integration overview
-
HAVEMANN, R. H. AND HUTCHBY, J. A. 2001. High-performance interconnects: An integration overview. Proceedings of the IEEE 89, 5 (May) 586-601.
-
(2001)
Proceedings of the IEEE
, vol.89
, Issue.5 MAY
, pp. 586-601
-
-
Havemann, R.H.1
Hutchby, J.A.2
-
66
-
-
84866633628
-
SystemC based SoC communication modeling for the OCP protocol
-
HAVERINEN, A., LECLERCQ, M., WEYRICH, N., AND WINGARD, D. 2002. SystemC based SoC communication modeling for the OCP protocol. White paper, http://www.ocpip.org.
-
(2002)
White Paper
-
-
Haverinen, A.1
Leclercq, M.2
Weyrich, N.3
Wingard, D.4
-
67
-
-
0030678795
-
Thin-film microstrip lines for mm and submm-wave on-chip interconnects
-
HEILIGER, H.-M., NAGEL, M., ROSKOS, H. G., AND KURZ, H. 1997. Thin-film microstrip lines for mm and submm-wave on-chip interconnects. In IEEE MTT-S Int. Microwave Symp. Digest. Vol. 2. 421-424.
-
(1997)
IEEE MTT-S Int. Microwave Symp. Digest.
, vol.2
, pp. 421-424
-
-
Heiliger, H.-M.1
Nagel, M.2
Roskos, H.G.3
Kurz, H.4
-
68
-
-
0141538149
-
Efficient on-chip global interconnects
-
IEEE
-
HO, R., MAI, K., AND HOROWITZ, M. 2003. Efficient on-chip global interconnects. In Symposium on VLSI Circuits. Digest of Technical Papers. IEEE, 271-274.
-
(2003)
Symposium on VLSI Circuits. Digest of Technical Papers
, pp. 271-274
-
-
Ho, R.1
Mai, K.2
Horowitz, M.3
-
69
-
-
33646922057
-
The future of wires
-
HO, R., MAI, K. W., AND HOROWITZ, M. A. 2001. The future of wires. Proceedings of the IEEE 89, 4 (April) 490-504.
-
(2001)
Proceedings of the IEEE
, vol.89
, Issue.4 APRIL
, pp. 490-504
-
-
Ho, R.1
Mai, K.W.2
Horowitz, M.A.3
-
70
-
-
16244389647
-
Application-specific buffer space allocation for networks-on-chip router design
-
IEEE/ACM
-
HU, J. AND MARCULESCU, R. 2004a. Application-specific buffer space allocation for networks-on-chip router design. In ICCAD. IEEE/ACM, 354-361.
-
(2004)
ICCAD
, pp. 354-361
-
-
Hu, J.1
Marculescu, R.2
-
71
-
-
3042658619
-
Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints
-
IEEE
-
HU, J. AND MARCULESCU, R. 2004b. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 10234-10240.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 10234-10240
-
-
Hu, J.1
Marculescu, R.2
-
72
-
-
4444230968
-
International technology roadmap for semiconductors
-
International Technology Roadmap for Semiconductors
-
ITRS. 2001. International technology roadmap for semiconductors. Tech. rep., International Technology Roadmap for Semiconductors.
-
(2001)
Tech. Rep.
-
-
-
73
-
-
3042559894
-
XpipesCompiler: A tool for instantiating application specific networks-on-chip
-
IEEE
-
JALABERT, A., MURALI, S., BENINI, L., AND MICHELI, G. D. 2004. XpipesCompiler: A tool for instantiating application specific networks-on-chip. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 884-889.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 884-889
-
-
Jalabert, A.1
Murali, S.2
Benini, L.3
Micheli, G.D.4
-
77
-
-
0032131992
-
A quantitative comparison of parrallel computation models
-
JUURLINK, B. H. H. AND WIJHOFF, H. A. G. 1998. A quantitative comparison of parrallel computation models. ACM Trans. Comput. Syst. 16, 3 (Aug.) 271-318.
-
(1998)
ACM Trans. Comput. Syst.
, vol.16
, Issue.3 AUG
, pp. 271-318
-
-
Juurlink, B.H.H.1
Wijhoff, H.A.G.2
-
78
-
-
0037371801
-
Optical interconnects for future high performance intergrated circuits
-
KAPUR, P. AND SARASWAT, K. C. 2003. Optical interconnects for future high performance intergrated circuits. Physica E 16, 3-4, 620-627.
-
(2003)
Physica E
, vol.16
, Issue.3-4
, pp. 620-627
-
-
Kapur, P.1
Saraswat, K.C.2
-
79
-
-
0036760592
-
An interconnect architecture for networking systems on chips
-
KARIM, F., NGUYEN, A., AND DEY, S. 2002. An interconnect architecture for networking systems on chips. IEEE Micro 22, 36-45.
-
(2002)
IEEE Micro
, vol.22
, pp. 36-45
-
-
Karim, F.1
Nguyen, A.2
Dey, S.3
-
80
-
-
0034848111
-
On-chip communication architecture for OC-768 network processors
-
ACM
-
KARIM, F., NGUYEN, A., DEY, S., AND RAO, R. 2001. On-chip communication architecture for OC-768 network processors. In Proceedings of the 38th Design Automation Conference (DAC). ACM, 678-683.
-
(2001)
Proceedings of the 38th Design Automation Conference (DAC)
, pp. 678-683
-
-
Karim, F.1
Nguyen, A.2
Dey, S.3
Rao, R.4
-
81
-
-
33745771059
-
A reconfigurable crossbar switch with adaptive band-width control for networks-on-chip
-
IEEE
-
KIM, D., LEE, K., JOONG LEE, S., AND Yoo, H.-J. 2005. A reconfigurable crossbar switch with adaptive band-width control for networks-on-chip. In International Symposium on Circuits and Systems (ISCAS), IEEE, 2369-2372.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 2369-2372
-
-
Kim, D.1
Lee, K.2
Joong Lee, S.3
Yoo, H.-J.4
-
82
-
-
33947117181
-
An arbitration look-ahead scheme for reducing end-to-end latency in networks-on-chip
-
IEEE
-
KIM, K., LEE, S.-J., LEE, K., AND Yoo, H.-J. 2005. An arbitration look-ahead scheme for reducing end-to-end latency in networks-on-chip. In International Symposium on Circuits and Systems (ISCAS). IEEE, 2357-2360.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 2357-2360
-
-
Kim, K.1
Lee, S.-J.2
Lee, K.3
Yoo, H.-J.4
-
83
-
-
84948696213
-
A network-on-chip architecture and design methodology
-
IEEE Computer Society
-
KUMAR, S., JANTSCH, A., SOININEN, J.-R, FORSELL, M., MILLBERG, M., OBERG, J., TIENSYRJÄ, K., AND HEMANI, A. 2002. A network-on-chip architecture and design methodology. In Proceedings of the Computer Society Annual Symposium on VLSI (ISVLSI). IEEE Computer Society, 117-124.
-
(2002)
Proceedings of the Computer Society Annual Symposium on VLSI (ISVLSI)
, pp. 117-124
-
-
Kumar, S.1
Jantsch, A.2
Soininen, J.-R.3
Forsell, M.4
Millberg, M.5
Oberg, J.6
Tiensyrjä, K.7
Hemani, A.8
-
84
-
-
0035060749
-
Multi-GHz clocking scheme for Intel pentium 4 microprocessor
-
IEEE
-
KURD, N., BARKATULLAH, J., DIZON, R., FLETCHER, T., AND MADLAND, P. 2001. Multi-GHz clocking scheme for Intel pentium 4 microprocessor. In Digest of Technical Papers. International Solid-State Circuits Conference (ISSCC). IEEE, 404-405.
-
(2001)
Digest of Technical Papers. International Solid-state Circuits Conference (ISSCC)
, pp. 404-405
-
-
Kurd, N.1
Barkatullah, J.2
Dizon, R.3
Fletcher, T.4
Madland, P.5
-
85
-
-
0034995968
-
Evaluation of the traffic-performance characteristics of system-on-chip communication architectures
-
IEEE
-
LAHIRI, K., RAGHUNATHAN, A., AND DEY, S. 2001. Evaluation of the traffic-performance characteristics of system-on-chip communication architectures. In Proceedings of the 14th International Conference on VLSI Design. IEEE, 29-35.
-
(2001)
Proceedings of the 14th International Conference on VLSI Design
, pp. 29-35
-
-
Lahiri, K.1
Raghunathan, A.2
Dey, S.3
-
86
-
-
0033685462
-
Communication architecture tuners: A methodology for the design of high-performance communication architectures for system-on-chips
-
IEEE
-
LAHIRI, K., RAGHUNATHAN, A., LAKSHMINARAYANA, G., AND DEY, S. 2000. Communication architecture tuners: A methodology for the design of high-performance communication architectures for system-on-chips. In Proceedings of the Design Automation Conference, DAC. IEEE, 513-518.
-
(2000)
Proceedings of the Design Automation Conference, DAC
, pp. 513-518
-
-
Lahiri, K.1
Raghunathan, A.2
Lakshminarayana, G.3
Dey, S.4
-
87
-
-
0009350526
-
On-chip interconnects - Gigahertz and beyond
-
LEE, K. 1998. On-chip interconnects - gigahertz and beyond. Solid State Technol. 41, 9 (Sept.) 85-89.
-
(1998)
Solid State Technol.
, vol.41
, Issue.9 SEPT
, pp. 85-89
-
-
Lee, K.1
-
88
-
-
0022141776
-
Fat-trees: Universal networks for hardware-efficient supercomputing
-
LEISERSON, C. E. 1985. Fat-trees: Universal networks for hardware-efficient supercomputing. IEEE Trans. Comput. c-34, 10, 892-901.
-
(1985)
IEEE Trans. Comput.
, vol.C-34
, Issue.10
, pp. 892-901
-
-
Leiserson, C.E.1
-
89
-
-
27644446882
-
Spatial division multiplexing: A novel approach for guaranteed throughput on noes
-
ACM/IEEE
-
LEROY, A., MARCHAL, P., SHICKOVA, A., CATTHOOR, F., ROBERT, F., AND VERKEST, D. 2005. Spatial division multiplexing: a novel approach for guaranteed throughput on noes. In CODES/ISSS. ACM/IEEE, 81-86.
-
(2005)
CODES/ISSS
, pp. 81-86
-
-
Leroy, A.1
Marchal, P.2
Shickova, A.3
Catthoor, F.4
Robert, F.5
Verkest, D.6
-
90
-
-
3142720340
-
An architecture and compiler for scalable on-chip communication
-
LIANG, J., LAFFELY, A., SRINIVASAN, S., AND TESSIER, R. 2004. An architecture and compiler for scalable on-chip communication. IEEE Trans. VLSI Syst. 12, 7, 711-726.
-
(2004)
IEEE Trans. VLSI Syst.
, vol.12
, Issue.7
, pp. 711-726
-
-
Liang, J.1
Laffely, A.2
Srinivasan, S.3
Tessier, R.4
-
91
-
-
0034512994
-
ASOC: A scalable, single-chip communications architecture
-
LIANG, J., SWAMINATHAN, S., AND TESSIER, R. 2000. ASOC: A scalable, single-chip communications architecture. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. 37-46.
-
(2000)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 37-46
-
-
Liang, J.1
Swaminathan, S.2
Tessier, R.3
-
92
-
-
1242309795
-
Interconnect intellectual property for network-on-chip (NoC)
-
LIU, J., ZHENG, L.-R., AND TENHUNEN, H. 2004. Interconnect intellectual property for network-on-chip (NoC). J. Syst. Archite. 50, 65-79.
-
(2004)
J. Syst. Archite.
, vol.50
, pp. 65-79
-
-
Liu, J.1
Zheng, L.-R.2
Tenhunen, H.3
-
93
-
-
3042511814
-
Analyzing on-chip communication in a MPSoC environment
-
IEEE
-
LOGHI, M., ANGIOLINI, P., BERTOZZI, D., BENINI, L., AND ZAFALON, R. 2004. Analyzing on-chip communication in a MPSoC environment. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 752-757.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 752-757
-
-
Loghi, M.1
Angiolini, P.2
Bertozzi, D.3
Benini, L.4
Zafalon, R.5
-
94
-
-
33646934548
-
Network-on-chip modeling for system-level multiprocessor simulation
-
IEEE
-
MADSEN, J., MAHADEVAN, S., VIRK, K., AND GONZALEZ, M. 2003. Network-on-chip modeling for system-level multiprocessor simulation. In Proceedings of the 24th IEEE International Real- Time Systems Symposium (RTSS). IEEE, 82-92.
-
(2003)
Proceedings of the 24th IEEE International Real- Time Systems Symposium (RTSS)
, pp. 82-92
-
-
Madsen, J.1
Mahadevan, S.2
Virk, K.3
Gonzalez, M.4
-
95
-
-
33646937706
-
ARTS: A system-level framework for modeling MPSoC components and analysis of their causality
-
IEEE Computer Society
-
MAHADEVAN, S., STORGAARD, M., MADSEN, J., AND VIRK, K. 2005. ARTS: A system-level framework for modeling MPSoC components and analysis of their causality. In The 13th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS). IEEE Computer Society.
-
(2005)
The 13th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)
-
-
Mahadevan, S.1
Storgaard, M.2
Madsen, J.3
Virk, K.4
-
96
-
-
0033688597
-
Smart memories: A modular reconfigurable architecture
-
MAI, K., PAASKE, T., JAYASENA, N., Ho, R., DALLY, W. J., AND HOROWITZ, M. 2000. Smart memories: A modular reconfigurable architecture. In Proceedings of 27th International Symposium on Computer Architecture. 161-171.
-
(2000)
Proceedings of 27th International Symposium on Computer Architecture
, pp. 161-171
-
-
Mai, K.1
Paaske, T.2
Jayasena, N.3
Ho, R.4
Dally, W.J.5
Horowitz, M.6
-
97
-
-
0038791435
-
Globally asynchronous locally synchronous architecture for large high-performance ASICs
-
MEINCKE, T., HEMANI, A., KUMAR, S., ELLERVEE, P., OBERG, J., OLSSON, T., NILSSON, P., LINDQVIST, D., AND TENHUNEN, H. 1999. Globally asynchronous locally synchronous architecture for large high-performance ASICs. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). Vol. 2. 512-515.
-
(1999)
Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS)
, vol.2
, pp. 512-515
-
-
Meincke, T.1
Hemani, A.2
Kumar, S.3
Ellervee, P.4
Oberg, J.5
Olsson, T.6
Nilsson, P.7
Lindqvist, D.8
Tenhunen, H.9
-
98
-
-
3042740415
-
Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network-on-chip
-
IEEE
-
MILLBERG, M., NILSSON, E., THID, R., AND JANTSCH, A. 2004. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network-on-chip. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 890-895.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 890-895
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Jantsch, A.4
-
99
-
-
0035058593
-
Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressign data
-
IEEE
-
MIZUNO, M., DALLY, W. J., AND ONISHI, H. 2001. Elastic interconnects: Repeater-inserted long wiring capable of compressing and decompressign data. In Proceedings of the International Solid-State Circuits Conference. IEEE, 346-347, 464.
-
(2001)
Proceedings of the International Solid-state Circuits Conference
, pp. 346-347
-
-
Mizuno, M.1
Dally, W.J.2
Onishi, H.3
-
100
-
-
9544237156
-
HERMES: An infrastructure for low area overhead packet-switching networks on chip
-
MORAES, P., CALAZANS, N., MELLO, A., MÖLLER, L., AND OST, L. 2004. HERMES: An infrastructure for low area overhead packet-switching networks on chip. The VLSI Integration 38, 69-93.
-
(2004)
The VLSI Integration
, vol.38
, pp. 69-93
-
-
Moraes, P.1
Calazans, N.2
Mello, A.3
Möller, L.4
Ost, L.5
-
104
-
-
77957961901
-
Practical design of globally-asynchronous locally-synchronous systems
-
IEEE Computer Society
-
MUTTERSBACH, J., VILLIGER, T., AND FICHTNER, W. 2000. Practical design of globally-asynchronous locally-synchronous systems. In Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC). IEEE Computer Society, 52-59.
-
(2000)
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC)
, pp. 52-59
-
-
Muttersbach, J.1
Villiger, T.2
Fichtner, W.3
-
106
-
-
0037969007
-
A clock skew absorbing flip-flop
-
IEEE
-
NEDOVIC, N., OKLOBDZIJA, V. G., AND WALKER, W. W. 2003. A clock skew absorbing flip-flop. In Proceedings of the International Solid-State Circuits Conference. IEEE, 342-497.
-
(2003)
Proceedings of the International Solid-state Circuits Conference
, pp. 342-497
-
-
Nedovic, N.1
Oklobdzija, V.G.2
Walker, W.W.3
-
107
-
-
33847238215
-
Network-on-chip-centric approach to interleaving in high throughput channel decoders
-
IEEE
-
NEEB, C., THUL, M., WEHN, N., NEEB, C., THUL, M., AND WEHN, N. 2005. Network-on-chip-centric approach to interleaving in high throughput channel decoders. In International Symposium on Circuits and Systems (ISCAS). IEEE, 1766-1769.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 1766-1769
-
-
Neeb, C.1
Thul, M.2
Wehn, N.3
Neeb, C.4
Thul, M.5
Wehn, N.6
-
110
-
-
84858911381
-
The importance of sockets in SoC design
-
OCPIP. 2003a. The importance of sockets in SoC design. White paper, http://www.ocpip.org.
-
(2003)
White Paper
-
-
-
113
-
-
0344981523
-
Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs
-
IEEE Computer Society
-
OSSO, M. D., BICCARI, G., GIOVANNINI, L., BERTOZZI, D., AND BENINI, L. 2003. Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs. In. Proceedings of 21st International Conference on Computer Design (ICCD). IEEE Computer Society, 536-539.
-
(2003)
Proceedings of 21st International Conference on Computer Design (ICCD)
, pp. 536-539
-
-
Osso, M.D.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
114
-
-
84861435320
-
MAIA - A framework for networks on chip generation and verification
-
IEEE
-
OST, L., MELLO, A., PALMA, J., MORAES, F., AND CALAZANS, N. 2005. MAIA - a framework for networks on chip generation and verification. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE.
-
(2005)
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC)
-
-
Ost, L.1
Mello, A.2
Palma, J.3
Moraes, F.4
Calazans, N.5
-
115
-
-
33745765395
-
Effect of traffic localization on energy dissipation in NoC-based interconnect
-
IEEE
-
PANDE, P., GRECU, C., JONES, M., IVANOV, A., AND SALEH, R. 2005. Effect of traffic localization on energy dissipation in NoC-based interconnect. In International Symposium on Circuits and Systems (ISCAS). IEEE, 1774-1777.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 1774-1777
-
-
Pande, P.1
Grecu, C.2
Jones, M.3
Ivanov, A.4
Saleh, R.5
-
116
-
-
0038420731
-
Design of a switch for network-on-chip applications
-
PANDE, P. P., GRECU, C., IVANOV, A., AND SALEH, R. 2003. Design of a switch for network-on-chip applications. IEEE International Symposium on Circuits and Systems (ISCAS) 5, 217-220.
-
(2003)
IEEE International Symposium on Circuits and Systems (ISCAS)
, vol.5
, pp. 217-220
-
-
Pande, P.P.1
Grecu, C.2
Ivanov, A.3
Saleh, R.4
-
118
-
-
0035101680
-
A delay model for router microarchitectures
-
PEH, L.-S. AND DALLY, W. J. 2001. A delay model for router microarchitectures. IEEE Micro 21, 26-34.
-
(2001)
IEEE Micro
, vol.21
, pp. 26-34
-
-
Peh, L.-S.1
Dally, W.J.2
-
119
-
-
3042558166
-
Cost-performance trade-offs in networks on chip: A simulation-based approach
-
IEEE
-
PESTANA, S., RIJPKEMA, E., RADULESCU, A., GOOSSENS, K., AND GANGWAL, O. 2004. Cost-performance trade-offs in networks on chip: a simulation-based approach. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 764-769.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 764-769
-
-
Pestana, S.1
Rijpkema, E.2
Radulescu, A.3
Goossens, K.4
Gangwal, O.5
-
121
-
-
3042561719
-
Extremely low-power logic
-
C. Piguet, Ed. IEEE
-
PIGUET, C., JACQUES, HEER, C., O'CONNOR, I., AND SCHLICHTMANN, U. 2004. Extremely low-power logic. In Proceedings of Design, Automation and Testing in Europe Conference (DATE), C. Piguet, Ed. IEEE, 1530-1591.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 1530-1591
-
-
Piguet, C.1
Jacques Heer, C.2
O'Connor, I.3
Schlichtmann, U.4
-
122
-
-
4544376708
-
Fault tolerant algorithms for network-on-chip interconnect
-
PIRRETTI, M., LINK, G., BROOKS, R. R., VIJAYKRISHNAN, N., KANDEMIR, M., AND IRWIN, M. 2004. Fault tolerant algorithms for network-on-chip interconnect. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 46-51.
-
(2004)
Proceedings of the IEEE Computer Society Annual Symposium on VLSI
, pp. 46-51
-
-
Pirretti, M.1
Link, G.2
Brooks, R.R.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.6
-
123
-
-
3042660381
-
An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration
-
IEEE
-
RADULESCU, A., DIELISSEN, J., GOOSSENS, K., RIJPKEMA, E., AND WIELAGE, P. 2004. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 878-883.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 878-883
-
-
Radulescu, A.1
Dielissen, J.2
Goossens, K.3
Rijpkema, E.4
Wielage, P.5
-
125
-
-
84893753441
-
Trade-offs in the design of a router with both guaranteed and best-effort services for networks-on-chip
-
IEEE
-
RIJPKEMA, E., GOOSSENS, K. G. W., RADULESCU, A., DIELISSEN, J., MEERBEHGEN, J. V., WIELAGE, P., AND WATER-LANDER, E. 2003. Trade-offs in the design of a router with both guaranteed and best-effort services for networks-on-chip. In Proceedings of the Design, Automation and Test in Europe Conference (DATE). IEEE, 350-355.
-
(2003)
Proceedings of the Design, Automation and Test in Europe Conference (DATE)
, pp. 350-355
-
-
Rijpkema, E.1
Goossens, K.G.W.2
Radulescu, A.3
Dielissen, J.4
Meerbehgen, J.V.5
Wielage, P.6
Water-Lander, E.7
-
126
-
-
0032312385
-
A bandwidth-efficient architecture for media processing
-
RIXNER, S., DALLY, W. J., KAPASI, U. J., KHAILANY, B., LUPEZ-LAGUNAS, A., MATTSON, P. R., AND OWENS, J. D. 1998. A bandwidth-efficient architecture for media processing. In Proceedings of the 31st Annual ACM/IEEE International Symposium on Microarchitecture. 3-13.
-
(1998)
Proceedings of the 31st Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 3-13
-
-
Rixner, S.1
Dally, W.J.2
Kapasi, U.J.3
Khailany, B.4
Lupez-Lagunas, A.5
Mattson, P.R.6
Owens, J.D.7
-
127
-
-
28444449827
-
An asynchronous router for multiple service levels networks on chip
-
IEEE
-
ROSTISLAV, D., VISHNYAKOV, V., FRIEDMAN, E., AND GINOSAUR, R. 2005. An asynchronous router for multiple service levels networks on chip. In Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). IEEE, 44-53.
-
(2005)
Proceedings of the 11th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)
, pp. 44-53
-
-
Rostislav, D.1
Vishnyakov, V.2
Friedman, E.3
Ginosaur, R.4
-
128
-
-
33745794830
-
Design of a switching node (router) for on-chip networks
-
IEEE
-
SATHE, S., WIKLUND, D., AND LIU, D. 2003. Design of a switching node (router) for on-chip networks. In Proceedings of the 5th International Conference on ASIC. IEEE, 75-78.
-
(2003)
Proceedings of the 5th International Conference on ASIC
, pp. 75-78
-
-
Sathe, S.1
Wiklund, D.2
Liu, D.3
-
129
-
-
0009563246
-
National technology roadmap for semiconductors 1997
-
Semiconductor Industry Association
-
SIA. 1997. National technology roadmap for semiconductors 1997. Tech. rep., Semiconductor Industry Association.
-
(1997)
Tech. Rep.
-
-
-
130
-
-
9544242739
-
Issues in the development of a practical NoC: The Proteo concept
-
Elsevier
-
SIGUENZA-TORTOSA, D., AHONEN, T., AND NURMI, J. 2004. Issues in the development of a practical NoC: The Proteo concept. Integrat. VLSIJ. Elsevier, 95-105.
-
(2004)
Integrat. VLSIJ
, pp. 95-105
-
-
Siguenza-Tortosa, D.1
Ahonen, T.2
Nurmi, J.3
-
134
-
-
27344431958
-
Xpipes lite: A synthesis oriented design library for networks on chips
-
IEEE
-
STEROIOU, S., ANGIOLINI, F., CARTA, S., RAFFO, L., BERTOZZI, D., AND MICHELI, G. D. 2005. Xpipes lite: A synthesis oriented design library for networks on chips. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE.
-
(2005)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
-
-
Steroiou, S.1
Angiolini, F.2
Carta, S.3
Raffo, L.4
Bertozzi, D.5
Micheli, G.D.6
-
139
-
-
0036505033
-
The RAW microprocessor: A computational fabric for software circuits and general-purpose programs
-
TAYLOR, M. B., Km, J., MILLER, J., WENTZLAFF, D., GHODRAT, F., GREENWALD, B., HOFFMAN, H., JOHNSON, P., LEE, J.-W., LEE, W., MA, A., SARAF, A., SENESKI, M., SHNIDMAN, N., STRUMPEN, V., FRANK, M., AMARASINGHE, S., AND AGARWAL, A. 2002. The RAW microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE MICRO 12, 2, 25-35.
-
(2002)
IEEE MICRO
, vol.12
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
Km, J.2
Miller, J.3
Wentzlaff, D.4
Ghodrat, F.5
Greenwald, B.6
Hoffman, H.7
Johnson, P.8
Lee, J.-W.9
Lee, W.10
Ma, A.11
Saraf, A.12
Seneski, M.13
Shnidman, N.14
Strumpen, V.15
Frank, M.16
Amarasinghe, S.17
Agarwal, A.18
-
140
-
-
33745799807
-
Packet scheduling in proteo network-on-chip
-
IASTED/ACTA Press
-
TORTOSA, D. A. AND NURMI, J. 2004. Packet scheduling in proteo network-on-chip. Parall. Distrib. Comput. Netw. IASTED/ACTA Press, 116-121.
-
(2004)
Parall. Distrib. Comput. Netw
, pp. 116-121
-
-
Tortosa, D.A.1
Nurmi, J.2
-
141
-
-
0035248113
-
Impact of virtual channels and adaptive routing on application performance
-
VAIDYA, R. S., SIVASUBRAMANIAM, A., AND DAS, C. R. 2001. Impact of virtual channels and adaptive routing on application performance. IEEE Trans. Parall. Distrib. Syst. 12, 2 (Feb.) 223-237.
-
(2001)
IEEE Trans. Parall. Distrib. Syst.
, vol.12
, Issue.2 FEB
, pp. 223-237
-
-
Vaidya, R.S.1
Sivasubramaniam, A.2
Das, C.R.3
-
144
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
IEEE Computer Society Press
-
WANG, H.-S., ZHU, X., PEH, L.-S., AND MALIK, S. 2002. Orion: A power-performance simulator for interconnection networks. In Proceedings of the 35th Annual ACM / IEEE International Symposium on Microarchitecture. IEEE Computer Society Press, 294-305.
-
(2002)
Proceedings of the 35th Annual ACM / IEEE International Symposium on Microarchitecture
, pp. 294-305
-
-
Wang, H.-S.1
Zhu, X.2
Peh, L.-S.3
Malik, S.4
-
145
-
-
27344447802
-
A quality-of-service mechanism for interconnection networks in system-on-chips
-
IEEE
-
WEBER, W.-D., CHOU, J., SWARBRICK, I., AND WINGARD, D. 2005. A quality-of-service mechanism for interconnection networks in system-on-chips. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 1232-1237.
-
(2005)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 1232-1237
-
-
Weber, W.-D.1
Chou, J.2
Swarbrick, I.3
Wingard, D.4
-
146
-
-
3042613501
-
A system level processor/communication co-exploration methodology for multi-processor system-on-chip platforms
-
IEEE Computer Society
-
WIEFERINK, A., KOGEL, T., LEUPERS, R., ASCHEID, G., MEYR, H., BRAUN, G., AND NOHL, A. 2004. A system level processor/communication co-exploration methodology for multi-processor system-on-chip platforms. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE Computer Society, 1256-1261.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 1256-1261
-
-
Wieferink, A.1
Kogel, T.2
Leupers, R.3
Ascheid, G.4
Meyr, H.5
Braun, G.6
Nohl, A.7
-
148
-
-
33745780456
-
Self-calibrating networks-on-chip
-
IEEE
-
WORM, F., THIRAN, P., MICHELI, G. D., AND IENNE, P. 2005. Self-calibrating networks-on-chip. In International Symposium on Circuits and Systems (ISCAS). IEEE, 2361-2364.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 2361-2364
-
-
Worm, F.1
Thiran, P.2
Micheli, G.D.3
Ienne, P.4
-
149
-
-
0035058526
-
The design and analysis of the clock distribution network for a 1.2 GHz alpha microprocessor
-
IEEE
-
XANTHOPOULOS, T., BAILEY, D., GANGWAR, A., GOWAN, M., JAIN, A., AND PREWITT, B. 2001. The design and analysis of the clock distribution network for a 1.2 GHz alpha microprocessor. In Digest of Technical Papers, IEEE International Solid-State Circuits Conference, ISSCC. IEEE, 402-403.
-
(2001)
Digest of Technical Papers, IEEE International Solid-State Circuits Conference, ISSCC
, pp. 402-403
-
-
Xanthopoulos, T.1
Bailey, D.2
Gangwar, A.3
Gowan, M.4
Jain, A.5
Prewitt, B.6
-
150
-
-
33847228171
-
A methodology for design, modeling, and analysis of networks-on-chip
-
IEEE
-
XU, J., WOLF, W., HENKEL, J., AND CHAKRADHAR, S. 2005. A methodology for design, modeling, and analysis of networks-on-chip. In International Symposium on Circuits and Systems (ISCAS), IEEE, 1778-1781.
-
(2005)
International Symposium on Circuits and Systems (ISCAS)
, pp. 1778-1781
-
-
Xu, J.1
Wolf, W.2
Henkel, J.3
Chakradhar, S.4
-
151
-
-
3042517230
-
A case study in networks-on-chip design for embedded video
-
IEEE
-
XU, J., WOLF, W., HENKEL, J., CHAKRADHAR, S., AND Lv, T. 2004. A case study in networks-on-chip design for embedded video. In Proceedings of Design, Automation and Testing in Europe Conference (DATE). IEEE, 770-775.
-
(2004)
Proceedings of Design, Automation and Testing in Europe Conference (DATE)
, pp. 770-775
-
-
Xu, J.1
Wolf, W.2
Henkel, J.3
Chakradhar, S.4
Lv, T.5
-
152
-
-
0033704034
-
Low-swing on chip signaling techniques: Effectiveness and robustness
-
ZHANG, H., GEORGE, V., AND RABAEY, J. M. 1999. Low-swing on chip signaling techniques: Effectiveness and robustness. IEEE Trans. VLSI Syst. 8, 3 (Aug.) 264-272.
-
(1999)
IEEE Trans. VLSI Syst.
, vol.8
, Issue.3 AUG
, pp. 264-272
-
-
Zhang, H.1
George, V.2
Rabaey, J.M.3
-
153
-
-
0034430386
-
A 1 v heterogeneous reconfigurable processor IC for baseband wireless applications
-
IEEE
-
ZHANG, H., PRABHU, V., GEORGE, V., WAN, M., BENES, M., ABNOUS, A., AND RABAEY, J. M. 2000. A 1 V heterogeneous reconfigurable processor IC for baseband wireless applications. In International Solid-State Circuits Conference. Digest of Technical Papers (ISSCC). IEEE, 68-69.
-
(2000)
International Solid-State Circuits Conference. Digest of Technical Papers (ISSCC)
, pp. 68-69
-
-
Zhang, H.1
Prabhu, V.2
George, V.3
Wan, M.4
Benes, M.5
Abnous, A.6
Rabaey, J.M.7
|