-
2
-
-
0036611115
-
A Brief History of Disk Drive Control
-
Abramovitch and Franklin (2002)., June
-
Abramovitch D., Franklin G. A Brief History of Disk Drive Control. IEEE Control Systems Magazine 2002, vol. 22(no. 3):28-42. Abramovitch and Franklin (2002)., June.
-
(2002)
IEEE Control Systems Magazine
, vol.22
, Issue.3
, pp. 28-42
-
-
Abramovitch, D.1
Franklin, G.2
-
3
-
-
0001916468
-
MACH: A New Kernel Foundation for UNIX Development
-
Accetta et al. (1986).
-
Accetta M., Baron R., Golub D., Rashid R., Tevanian A., Young M. MACH: A New Kernel Foundation for UNIX Development. Technical Report, Computer Science Department, Carnegie-Mellon University 1986, Accetta et al. (1986).
-
(1986)
Technical Report, Computer Science Department, Carnegie-Mellon University
-
-
Accetta, M.1
Baron, R.2
Golub, D.3
Rashid, R.4
Tevanian, A.5
Young, M.6
-
5
-
-
0013417553
-
The Next Generation of Intel IXP Network Processors
-
Adiletta et al. (2002)., Aug.
-
Adiletta M., Rosenbluth M., Bernstein D., Wolrich G., Wilkinson H. The Next Generation of Intel IXP Network Processors. Intel Technology Journal 2002, vol. 6(no. 3):6-18. Adiletta et al. (2002)., Aug.
-
(2002)
Intel Technology Journal
, vol.6
, Issue.3
, pp. 6-18
-
-
Adiletta, M.1
Rosenbluth, M.2
Bernstein, D.3
Wolrich, G.4
Wilkinson, H.5
-
6
-
-
0032314038
-
Scan Chain Design for Test Time Reduction in Core-Based ICs
-
Aerts and Marinissen (1998)., Oct.
-
Aerts J., Marinissen E.J. Scan Chain Design for Test Time Reduction in Core-Based ICs. Proceedings of the 1998 International Test Conference 1998, 448-457. Aerts and Marinissen (1998)., Oct.
-
(1998)
Proceedings of the 1998 International Test Conference
, pp. 448-457
-
-
Aerts, J.1
Marinissen, E.J.2
-
7
-
-
0004072686
-
-
Aho et al. (1986)., Addison-Wesley, Reading, MA
-
Aho A.V., Sethi R., Ullman J.D. Compilers: Principles, Techniques, and Tools 1986, Aho et al. (1986)., Addison-Wesley, Reading, MA.
-
(1986)
Compilers: Principles, Techniques, and Tools
-
-
Aho, A.V.1
Sethi, R.2
Ullman, J.D.3
-
8
-
-
0024750286
-
Code Generation Using Tree Matching and Dynamic Programming
-
Aho et al. (1989)., Oct.
-
Aho A.V., Ganapathi M., Tjiang S.W.K. Code Generation Using Tree Matching and Dynamic Programming. ACM Transactions on Programming Languages and Systems 1989, vol. 11(no. 4):491-516. Aho et al. (1989)., Oct.
-
(1989)
ACM Transactions on Programming Languages and Systems
, vol.11
, Issue.4
, pp. 491-516
-
-
Aho, A.V.1
Ganapathi, M.2
Tjiang, S.W.K.3
-
13
-
-
78651563695
-
Some Effects of the 6600 Computer on Language Structures
-
Allard et al. (1964)., Feb.
-
Allard R.W., Wolf K.A., Zemlin R.A. Some Effects of the 6600 Computer on Language Structures. Communications of the ACM 1964, vol. 7(no. 2):112-119. Allard et al. (1964)., Feb.
-
(1964)
Communications of the ACM
, vol.7
, Issue.2
, pp. 112-119
-
-
Allard, R.W.1
Wolf, K.A.2
Zemlin, R.A.3
-
14
-
-
0037952146
-
-
Allen and Kennedy (2001)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Allen J.R., Kennedy K. Optimizing Compilers for Modern Architectures: A Dependence-Based Approach 2001, Allen and Kennedy (2001)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA.
-
(2001)
Optimizing Compilers for Modern Architectures: A Dependence-Based Approach
-
-
Allen, J.R.1
Kennedy, K.2
-
17
-
-
85133457279
-
-
Web site at
-
Altera Corporation (2004). Web site at. http://www.altera.com.
-
(2004)
-
-
-
18
-
-
0004242478
-
-
Springer-Verlag, Berlin, New York
-
Hybrid Systems III: Verification and Control, Lecture Notes in Computer Science 1066 1996, Springer-Verlag, Berlin, New York. R. Alur, T.A. Henzinger, E.D. Sontag (Eds.).
-
(1996)
Hybrid Systems III: Verification and Control, Lecture Notes in Computer Science 1066
-
-
Alur, R.1
Henzinger, T.A.2
Sontag, E.D.3
-
19
-
-
85133445757
-
-
Analog Devices (2004). Web site at. http://www.analogdevices.com/processors.
-
(2004)
-
-
-
20
-
-
0003927082
-
Continuous Profiling: Where Have All the Cycles Gone?
-
Anderson et al. (1997)., July, Digital Equipment Corporation, Systems Research Center
-
Anderson J.M., Berc L., Dean J., Ghemawat S., Henzinger M., Leung S.-T.A., Sites D., Vandevoorde M., Waldspurger C., Weihl W.E. Continuous Profiling: Where Have All the Cycles Gone?. Technical Note 1997-016 1997, Anderson et al. (1997)., July, Digital Equipment Corporation, Systems Research Center.
-
(1997)
Technical Note 1997-016
-
-
Anderson, J.M.1
Berc, L.2
Dean, J.3
Ghemawat, S.4
Henzinger, M.5
Leung, S.-T.A.6
Sites, D.7
Vandevoorde, M.8
Waldspurger, C.9
Weihl, W.E.10
-
26
-
-
85133486610
-
-
ARC International (2004). Web site at. http://www.arc.com.
-
(2004)
-
-
-
27
-
-
0003495311
-
-
ARM (1995)., Technical Report
-
Advanced RISC Machines Ltd. An Introduction to Thumb 1995, ARM (1995)., Technical Report.
-
(1995)
An Introduction to Thumb
-
-
-
28
-
-
0003487052
-
-
Arnold et al. (2000)., Addison-Wesley, Reading, MA
-
Arnold K., Gosling J., Holmes D. The Java Programming Language 2000, Arnold et al. (2000)., Addison-Wesley, Reading, MA. 3d ed.
-
(2000)
The Java Programming Language
-
-
Arnold, K.1
Gosling, J.2
Holmes, D.3
-
31
-
-
85013833490
-
-
Ashenden (2001)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Ashenden P.J. The Designer's Guide to VHDL 2001, Ashenden (2001)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA. 2d ed.
-
(2001)
The Designer's Guide to VHDL
-
-
Ashenden, P.J.1
-
32
-
-
0027561268
-
Processor Reconfiguration Through Instruction-Set Metamorphosis
-
Athanas and Silverman (1993)., March
-
Athanas P.M., Silverman H.F. Processor Reconfiguration Through Instruction-Set Metamorphosis. IEEE Computer 1993, vol. 26(no. 3):11-18. Athanas and Silverman (1993)., March.
-
(1993)
IEEE Computer
, vol.26
, Issue.3
, pp. 11-18
-
-
Athanas, P.M.1
Silverman, H.F.2
-
33
-
-
0031594006
-
Integrated, Predicated, and Speculative Execution in the IMPACT EPIC Architecture
-
August et al. (1998)., July
-
August D.I., Connors D.A., Mahlke S.A., Sias J.W., Crozier K.M., Cheng B., Eaton P.R., Olaniran Q.B., Hwu W.W. Integrated, Predicated, and Speculative Execution in the IMPACT EPIC Architecture. Proceedings of the 25th Annual International Symposium on Computer Architecture 1998, 227-237. August et al. (1998)., July.
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture
, pp. 227-237
-
-
August, D.I.1
Connors, D.A.2
Mahlke, S.A.3
Sias, J.W.4
Crozier, K.M.5
Cheng, B.6
Eaton, P.R.7
Olaniran, Q.B.8
Hwu, W.W.9
-
36
-
-
0032656687
-
Synthesis of Software Programs for Embedded Control Applications
-
Balarin et al. (1999)., June
-
Balarin F., Chiodo M., Giusto P., Hsieh H., Jurecska A., Lavagno L., Sangiovanni-Vincentelli A., Sentovich E.M., Suzuki K. Synthesis of Software Programs for Embedded Control Applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1999, vol. 18(no. 6):834-849. Balarin et al. (1999)., June.
-
(1999)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.18
, Issue.6
, pp. 834-849
-
-
Balarin, F.1
Chiodo, M.2
Giusto, P.3
Hsieh, H.4
Jurecska, A.5
Lavagno, L.6
Sangiovanni-Vincentelli, A.7
Sentovich, E.M.8
Suzuki, K.9
-
40
-
-
0028462563
-
Optimally Profiling and Tracing Programs
-
Ball and Larus (1994)., July
-
Ball T., Larus J.R. Optimally Profiling and Tracing Programs. ACM Transactions on Programming Languages and Systems 1994, vol. 16(no. 4):1319-1360. Ball and Larus (1994)., July.
-
(1994)
ACM Transactions on Programming Languages and Systems
, vol.16
, Issue.4
, pp. 1319-1360
-
-
Ball, T.1
Larus, J.R.2
-
42
-
-
0000665382
-
Automotive Engine Control and Hybrid Systems: Challenges and Opportunities
-
Balluchi et al. (2000)., July, July
-
Balluchi A., Benvenuti L., DiBenedetto M., Pinello C., Sangiovanni-Vicentelli A. Automotive Engine Control and Hybrid Systems: Challenges and Opportunities. Proceedings of the IEEE 2000, vol. 88:888-912. Balluchi et al. (2000)., July, July.
-
(2000)
Proceedings of the IEEE
, vol.88
, pp. 888-912
-
-
Balluchi, A.1
Benvenuti, L.2
DiBenedetto, M.3
Pinello, C.4
Sangiovanni-Vicentelli, A.5
-
44
-
-
0008535992
-
-
Barnwell et al. (1996)., John Wiley and Sons, Inc., New York
-
Barnwell T.P., Nayebi K., Richardson C.H. Speech Coding: A Computer Laboratory Textbook 1996, Barnwell et al. (1996)., John Wiley and Sons, Inc., New York.
-
(1996)
Speech Coding: A Computer Laboratory Textbook
-
-
Barnwell, T.P.1
Nayebi, K.2
Richardson, C.H.3
-
46
-
-
85013760086
-
-
Barr (2003).
-
Barr M. Embedded Systems Bibliography 2003, Barr (2003)., Online at http://www.netrino.com/Publications/Bibliography/, June.
-
(2003)
Embedded Systems Bibliography
-
-
Barr, M.1
-
47
-
-
0027591918
-
The Cydra-5 Minisupercomputer: Architecture and Implementation
-
Beck et al. (1993)., May
-
Beck G.R., Yen D.W.L., Anderson T.L. The Cydra-5 Minisupercomputer: Architecture and Implementation. The Journal of Supercomputing 1993, vol. 7(no. 1-2):143-180. Beck et al. (1993)., May.
-
(1993)
The Journal of Supercomputing
, vol.7
, Issue.1-2
, pp. 143-180
-
-
Beck, G.R.1
Yen, D.W.L.2
Anderson, T.L.3
-
49
-
-
0004273274
-
-
Bentley (2000)., Addison-Wesley, Reading, MA
-
Bentley J. Programming Pearls 2000, Bentley (2000)., Addison-Wesley, Reading, MA. 2d ed.
-
(2000)
Programming Pearls
-
-
Bentley, J.1
-
52
-
-
0035308547
-
The Impact of Intrinsic Device Fluctuations on CMOS SRAM Cell Stability
-
Bhavnagarwala et al. (2001)., April
-
Bhavnagarwala A.J., Tang X., Meindl J.D. The Impact of Intrinsic Device Fluctuations on CMOS SRAM Cell Stability. IEEE Journal of Solid-State Circuits 2001, vol. 36(no. 4):658-665. Bhavnagarwala et al. (2001)., April.
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, Issue.4
, pp. 658-665
-
-
Bhavnagarwala, A.J.1
Tang, X.2
Meindl, J.D.3
-
53
-
-
0012887193
-
-
Blaauw and Brooks (1997)., Addison-Wesley, Reading, MA
-
Blaauw G.A., Brooks F.P. Computer Architecture: Concepts and Evolution 1997, Blaauw and Brooks (1997)., Addison-Wesley, Reading, MA.
-
(1997)
Computer Architecture: Concepts and Evolution
-
-
Blaauw, G.A.1
Brooks, F.P.2
-
56
-
-
0003973608
-
Register Allocation via Graph Coloring
-
Briggs (1992).
-
Briggs P. Register Allocation via Graph Coloring. Ph. D. Thesis, Rice University 1992, Briggs (1992).
-
(1992)
Ph. D. Thesis, Rice University
-
-
Briggs, P.1
-
58
-
-
0004001585
-
-
Brown et al. (1992)., Kluwer Academic Publishers, Boston
-
Brown S.D., Francis R.J., Rose J., Vranesic Z.G. Field-Programmable Gate Arrays 1992, Brown et al. (1992)., Kluwer Academic Publishers, Boston.
-
(1992)
Field-Programmable Gate Arrays
-
-
Brown, S.D.1
Francis, R.J.2
Rose, J.3
Vranesic, Z.G.4
-
60
-
-
0003465202
-
The SimpleScalar Tool Set, Version 2.0
-
Burger and Austin (1997)., June
-
Burger D., Austin T.M. The SimpleScalar Tool Set, Version 2.0. Technical Report CS-TR-97-1342, University of Wisconsin-Madison 1997, Burger and Austin (1997)., June.
-
(1997)
Technical Report CS-TR-97-1342, University of Wisconsin-Madison
-
-
Burger, D.1
Austin, T.M.2
-
61
-
-
0030784721
-
Evidence-based Static Branch Prediction Using Machine Learning
-
Calder et al. (1997)., Jan.
-
Calder B., Jones M., Lindsay D., Martin J., Mozer M., Zorn B. Evidence-based Static Branch Prediction Using Machine Learning. ACM Transactions on Programming Languages and Systems 1997, vol. 19(no. 1). Calder et al. (1997)., Jan.
-
(1997)
ACM Transactions on Programming Languages and Systems
, vol.19
, Issue.1
-
-
Calder, B.1
Jones, M.2
Lindsay, D.3
Martin, J.4
Mozer, M.5
Zorn, B.6
-
65
-
-
85013825846
-
CDC Advanced Flexible Processor Microcode Cross Assembler (MICA) Reference Manual
-
CDC (1980)., Publication No. 77900500, April
-
Control Data Corporation CDC Advanced Flexible Processor Microcode Cross Assembler (MICA) Reference Manual. Technical Report, CDC 1980, CDC (1980)., Publication No. 77900500, April.
-
(1980)
Technical Report, CDC
-
-
-
66
-
-
0036047772
-
Component-Based Design Approach for Multicore SoCs
-
Cesario et al. (2002)., June
-
Cesario W., Baghdadi A., Gauthier L., Lyonnard D., Nicolescu G., Paviot Y., Yoo S., Jerraya A.A., Diaz-Nava M. Component-Based Design Approach for Multicore SoCs. Proceedings of the 39th Design Automation Conference 2002, 789-794. Cesario et al. (2002)., June.
-
(2002)
Proceedings of the 39th Design Automation Conference
, pp. 789-794
-
-
Cesario, W.1
Baghdadi, A.2
Gauthier, L.3
Lyonnard, D.4
Nicolescu, G.5
Paviot, Y.6
Yoo, S.7
Jerraya, A.A.8
Diaz-Nava, M.9
-
68
-
-
84938020780
-
Distributed Simulations: A Case Study in Design and Verification of Distributed Programs
-
Chandy and Misra (1979)., Sept.
-
Chandy K.M., Misra J. Distributed Simulations: A Case Study in Design and Verification of Distributed Programs. IEEE Transactions on Software Engineering 1979, vol. 5(no. 5):440-452. Chandy and Misra (1979)., Sept.
-
(1979)
IEEE Transactions on Software Engineering
, vol.5
, Issue.5
, pp. 440-452
-
-
Chandy, K.M.1
Misra, J.2
-
69
-
-
0012577356
-
Three Superblock Scheduling Models for Superscalar and Superpipelined Processors
-
Chang et al. (1991a)., Oct.
-
Chang P.P., Warter N.J., Mahlke S.A., Chen W.Y., Hwu W.W. Three Superblock Scheduling Models for Superscalar and Superpipelined Processors. Technical Report CRHC-91-25, Center for Reliable and High-Performance Computing, University of Illinois at Urbana-Champaign 1991, Chang et al. (1991a)., Oct.
-
(1991)
Technical Report CRHC-91-25, Center for Reliable and High-Performance Computing, University of Illinois at Urbana-Champaign
-
-
Chang, P.P.1
Warter, N.J.2
Mahlke, S.A.3
Chen, W.Y.4
Hwu, W.W.5
-
70
-
-
0026157612
-
IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors
-
Chang et al. (1991b)., May
-
Chang P.P., Mahlke S.A., Chen W.Y., Warter N.J., Hwu W.W. IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors. Proceedings of the 18th Annual International Symposium on Computer Architecture 1991, 266-275. Chang et al. (1991b)., May.
-
(1991)
Proceedings of the 18th Annual International Symposium on Computer Architecture
, pp. 266-275
-
-
Chang, P.P.1
Mahlke, S.A.2
Chen, W.Y.3
Warter, N.J.4
Hwu, W.W.5
-
71
-
-
0029289555
-
Three Architectural Models for Compiler-Controlled Speculative Execution
-
Chang et al. (1995)., April
-
Chang P.P., Warter N.J., Mahlke S.A., Chen W.Y., Hwu W.W. Three Architectural Models for Compiler-Controlled Speculative Execution. IEEE Transactions on Computers 1995, vol. 44(no. 4):481-494. Chang et al. (1995)., April.
-
(1995)
IEEE Transactions on Computers
, vol.44
, Issue.4
, pp. 481-494
-
-
Chang, P.P.1
Warter, N.J.2
Mahlke, S.A.3
Chen, W.Y.4
Hwu, W.W.5
-
72
-
-
0003840779
-
-
Change et al. (1999)., Kluwer Academic Publishers, Boston
-
Chang H., Cooke L., Hunt M., Martin G., McNelly A., Todd L. Surviving the SoC Revolution: A Guide to Platform-Based Design 1999, Change et al. (1999)., Kluwer Academic Publishers, Boston.
-
(1999)
Surviving the SoC Revolution: A Guide to Platform-Based Design
-
-
Chang, H.1
Cooke, L.2
Hunt, M.3
Martin, G.4
McNelly, A.5
Todd, L.6
-
73
-
-
84868652867
-
OMAP: Enabling Multimedia Applications in Third Generation (3G) Wireless Terminals
-
Chaoui et al. (2000)., Dec.
-
Chaoui J., Cyr K., Giacalone J.-P., de Gregorio S., Masse Y., Muthusamy Y., Spits T., Budagavi M., Webb J. OMAP: Enabling Multimedia Applications in Third Generation (3G) Wireless Terminals. Texas Instruments Technical White Paper SWPA001 2000, Chaoui et al. (2000)., Dec.
-
(2000)
Texas Instruments Technical White Paper SWPA001
-
-
Chaoui, J.1
Cyr, K.2
Giacalone, J.-P.3
de Gregorio, S.4
Masse, Y.5
Muthusamy, Y.6
Spits, T.7
Budagavi, M.8
Webb, J.9
-
74
-
-
0019610938
-
An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family
-
Charlesworth (1981)., Sept.
-
Charlesworth A.E. An Approach to Scientific Array Processing: The Architectural Design of the AP-120B/FPS-164 Family. IEEE Computer 1981, vol. 14(no. 9):18-27. Charlesworth (1981)., Sept.
-
(1981)
IEEE Computer
, vol.14
, Issue.9
, pp. 18-27
-
-
Charlesworth, A.E.1
-
75
-
-
0030411517
-
Profile-Driven Instruction Level Parallel Scheduling with Application to Super Blocks
-
Chekuri et al. (1996)., Dec.
-
Chekuri C., Johnson R., Motwani R., Natarajan B.K., Rau B.R., Schlansker M. Profile-Driven Instruction Level Parallel Scheduling with Application to Super Blocks. Proceedings of the 29th Annual International Symposium on Microarchitecture 1996, 58-67. Chekuri et al. (1996)., Dec.
-
(1996)
Proceedings of the 29th Annual International Symposium on Microarchitecture
, pp. 58-67
-
-
Chekuri, C.1
Johnson, R.2
Motwani, R.3
Natarajan, B.K.4
Rau, B.R.5
Schlansker, M.6
-
79
-
-
0035696756
-
The Impact of If-Conversion and Branch Prediction on Program Execution on the Intel Itanium Processor
-
Choi et al. (2001)., Dec.
-
Choi Y., Knies A., Gerke L., Ngai T.-F. The Impact of If-Conversion and Branch Prediction on Program Execution on the Intel Itanium Processor. Proceedings of the 34th Annual International Symposium on Microarchitecture 2001, 182-191. Choi et al. (2001)., Dec.
-
(2001)
Proceedings of the 34th Annual International Symposium on Microarchitecture
, pp. 182-191
-
-
Choi, Y.1
Knies, A.2
Gerke, L.3
Ngai, T.-F.4
-
82
-
-
0026623592
-
A PRML System for Digital Magnetic Recording
-
Cideciyan et al. (1992)., Jan.
-
Cideciyan R.D., Dolivo F., Hermann R., Hirt W., Schott W. A PRML System for Digital Magnetic Recording. IEEE Journal on Selected Areas in Communications 1992, vol. 10(no. 1):38-56. Cideciyan et al. (1992)., Jan.
-
(1992)
IEEE Journal on Selected Areas in Communications
, vol.10
, Issue.1
, pp. 38-56
-
-
Cideciyan, R.D.1
Dolivo, F.2
Hermann, R.3
Hirt, W.4
Schott, W.5
-
83
-
-
34848831905
-
A Proposed Radix- and Word-length-independent Standard for Floating-point Arithmetic
-
Cody et al. (1985)., Jan.
-
Cody W.J., Coonen J.T., Gay D.M., Hanson K., Hough D., Kahan W., Karpinski R., Palmer J., Ris F.N., Stevenson D. A Proposed Radix- and Word-length-independent Standard for Floating-point Arithmetic. SIGNUM Newsletter 1985, vol. 20(no. 1):37-51. Cody et al. (1985)., Jan.
-
(1985)
SIGNUM Newsletter
, vol.20
, Issue.1
, pp. 37-51
-
-
Cody, W.J.1
Coonen, J.T.2
Gay, D.M.3
Hanson, K.4
Hough, D.5
Kahan, W.6
Karpinski, R.7
Palmer, J.8
Ris, F.N.9
Stevenson, D.10
-
86
-
-
84976665119
-
A VLIW Architecture for a Trace Scheduling Compiler
-
Colwell et al. (1987)., Oct.
-
Colwell R.P., Nix R.P., O'Donnell J.J., Papworth D.B., Rodman P.K. A VLIW Architecture for a Trace Scheduling Compiler. Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems 1987, 180-192. Colwell et al. (1987)., Oct.
-
(1987)
Proceedings of the 2nd International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 180-192
-
-
Colwell, R.P.1
Nix, R.P.2
O'Donnell, J.J.3
Papworth, D.B.4
Rodman, P.K.5
-
87
-
-
0024057252
-
A VLIW Architecture for a Trace Scheduling Compiler
-
Colwell et al. (1988)., Aug.
-
Colwell R.P., Nix R.P., O'Donnell J.J., Papworth D.B., Rodman P.K. A VLIW Architecture for a Trace Scheduling Compiler. IEEE Transactions on Computers 1988, vol. 37(no. 8):967-979. Colwell et al. (1988)., Aug.
-
(1988)
IEEE Transactions on Computers
, vol.37
, Issue.8
, pp. 967-979
-
-
Colwell, R.P.1
Nix, R.P.2
O'Donnell, J.J.3
Papworth, D.B.4
Rodman, P.K.5
-
88
-
-
0025537017
-
Architecture and Implementation of a VLIW Supercomputer
-
Colwell et al. (1990)., Nov.
-
Colwell R.P., Hall W.E., Joshi C.S., Papworth D.B., Rodman P.K., Tornes J.E. Architecture and Implementation of a VLIW Supercomputer. Proceedings of the 1990 International Conference on Supercomputing 1990, 910-919. Colwell et al. (1990)., Nov.
-
(1990)
Proceedings of the 1990 International Conference on Supercomputing
, pp. 910-919
-
-
Colwell, R.P.1
Hall, W.E.2
Joshi, C.S.3
Papworth, D.B.4
Rodman, P.K.5
Tornes, J.E.6
-
89
-
-
0003501303
-
-
Kluwer Academic Publishers, Boston, T.M. Conte, C.E. Gimarc (Eds.)
-
Fast Simulation of Computer Architectures 1995, Kluwer Academic Publishers, Boston. T.M. Conte, C.E. Gimarc (Eds.).
-
(1995)
Fast Simulation of Computer Architectures
-
-
-
90
-
-
0030379247
-
Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings
-
Conte et al. (1996)., Dec.
-
Conte T.M., Banerjia S., Larin S.Y., Menezes K.N., Sathaye S.W. Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Proceedings of the 29th Annual International Symposium on Microarchitecture 1996, 201-211. Conte et al. (1996)., Dec.
-
(1996)
Proceedings of the 29th Annual International Symposium on Microarchitecture
, pp. 201-211
-
-
Conte, T.M.1
Banerjia, S.2
Larin, S.Y.3
Menezes, K.N.4
Sathaye, S.W.5
-
91
-
-
4544364198
-
-
Cooper and Torczon (2004)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Cooper K.D., Torczon L. Engineering a Compiler 2004, Cooper and Torczon (2004)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA.
-
(2004)
Engineering a Compiler
-
-
Cooper, K.D.1
Torczon, L.2
-
92
-
-
84882136421
-
Configurable Platform-Based SoC Design Techniques
-
Cordan (2001)., March 12 and March 20
-
Cordan B. Configurable Platform-Based SoC Design Techniques. Parts I and II, EE Design 2001, Cordan (2001)., March 12 and March 20.
-
(2001)
Parts I and II, EE Design
-
-
Cordan, B.1
-
93
-
-
84882136421
-
Configurable Platform-Based SoC Design Techniques
-
Cordan (2001b)., March 20
-
Cordan B. Configurable Platform-Based SoC Design Techniques. Part II, EE Design 2001, Cordan (2001b)., March 20.
-
(2001)
Part II, EE Design
-
-
Cordan, B.1
-
95
-
-
0142181962
-
-
Crowley et al. (2003)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA
-
Crowley P., Franklin M.A., Hadimioglu H., Onufryk P.Z. Network Processor Design: Issues and Practices 2003, Volume I. Crowley et al. (2003)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA.
-
(2003)
Network Processor Design: Issues and Practices
, vol.1
-
-
Crowley, P.1
Franklin, M.A.2
Hadimioglu, H.3
Onufryk, P.Z.4
-
96
-
-
0003662159
-
-
Culler et al. (1998)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA
-
Culler D.E., Singh J.P., Gupta A. Parallel Computer Architecture: A Hardware/Software Approach 1998, Culler et al. (1998)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA.
-
(1998)
Parallel Computer Architecture: A Hardware/Software Approach
-
-
Culler, D.E.1
Singh, J.P.2
Gupta, A.3
-
97
-
-
0018441797
-
The Organization of Microprogram Stores
-
Dasgupta (1979)., March
-
Dasgupta S. The Organization of Microprogram Stores. ACM Computing Surveys 1979, vol. 11(no. 1):39-65. Dasgupta (1979)., March.
-
(1979)
ACM Computing Surveys
, vol.11
, Issue.1
, pp. 39-65
-
-
Dasgupta, S.1
-
99
-
-
0016656370
-
Effective Control for Pipelined Processors
-
Davidson et al. (1975)., Feb.
-
Davidson E.S., Shar L.E., Thomas A.T., Patel J.H. Effective Control for Pipelined Processors. Proceedings of the 15th IEEE Computer Society International Conference 1975, 181-184. Davidson et al. (1975)., Feb.
-
(1975)
Proceedings of the 15th IEEE Computer Society International Conference
, pp. 181-184
-
-
Davidson, E.S.1
Shar, L.E.2
Thomas, A.T.3
Patel, J.H.4
-
100
-
-
0027590187
-
Compiling for the Cydra 5
-
Dehnert and Towle (1993)., May
-
Dehnert J.C., Towle R.A. Compiling for the Cydra 5. The Journal of Supercomputing 1993, vol. 7(no. 1-2):181-227. Dehnert and Towle (1993)., May.
-
(1993)
The Journal of Supercomputing
, vol.7
, Issue.1-2
, pp. 181-227
-
-
Dehnert, J.C.1
Towle, R.A.2
-
104
-
-
85133411930
-
-
Design and Reuse (2004). Web site at. http://www.design-reuse.com.
-
(2004)
-
-
-
105
-
-
0031999322
-
Instruction Assignment for Clustered VLIW DSP Compilers: A New Approach
-
Desoli (1998)., Feb.
-
Desoli G. Instruction Assignment for Clustered VLIW DSP Compilers: A New Approach. Technical Report HPL-98-13, Hewlett-Packard 1998, Desoli (1998)., Feb.
-
(1998)
Technical Report HPL-98-13, Hewlett-Packard
-
-
Desoli, G.1
-
106
-
-
85133438670
-
-
DSP Group (2004). Web site at. http://www.dspg.com.
-
(2004)
-
-
-
107
-
-
85133463697
-
-
DSP/C (2004). Web site at. http://www.dsp-c.org/.
-
(2004)
-
-
-
109
-
-
85133419671
-
-
Economides (2004). Nick Economides, web site at. http://www.stern.nyu.edu/networks/site.html.
-
(2004)
Nick Economides
-
-
-
110
-
-
85133478647
-
-
EE Times (2004). Web site at. http://www.eet.com.
-
(2004)
-
-
-
113
-
-
0003831259
-
Bulldog: A Compiler for VLIW Architectures
-
Ellis (1985).
-
Ellis J.R. Bulldog: A Compiler for VLIW Architectures. Ph.D. Thesis, Yale University 1985, Ellis (1985).
-
(1985)
Ph.D. Thesis, Yale University
-
-
Ellis, J.R.1
-
114
-
-
0003843510
-
-
Ellis and Stroustrup (1990)., Addison-Wesley, Reading, MA
-
Ellis M.A., Stroustrup B. The Annotated C++ Reference Manual 1990, Ellis and Stroustrup (1990)., Addison-Wesley, Reading, MA.
-
(1990)
The Annotated C++ Reference Manual
-
-
Ellis, M.A.1
Stroustrup, B.2
-
115
-
-
85133467463
-
-
Embedded Linux Consortium (2004). Web site at. http://www.embedded-linux.org.
-
(2004)
-
-
-
116
-
-
85133415756
-
-
Embedded Processor Watch (1998-2004). Web site at. http://www.mdronline.com/publications/e-watch.html.
-
(1998)
-
-
-
117
-
-
85133425004
-
-
Embedded Systems Programming (2004). Web site at. http://www.embedded.com.
-
(2004)
-
-
-
122
-
-
2442588090
-
Synergy Between Power-Aware Memory System and Processor Voltage Scaling
-
Fan et al. (2002)., Nov.
-
Fan X., Ellis C.S., Lebeck A.R. Synergy Between Power-Aware Memory System and Processor Voltage Scaling. Technical Report CS-2002-12, Department of Computer Science, Duke University 2002, Fan et al. (2002)., Nov.
-
(2002)
Technical Report CS-2002-12, Department of Computer Science, Duke University
-
-
Fan, X.1
Ellis, C.S.2
Lebeck, A.R.3
-
124
-
-
0033703885
-
Lx: A Technology Platform for Customizable VLIW Embedded Processing
-
Faraboschi et al. (2000)., June
-
Faraboschi P., Brown G., Fisher J.A., Desoli G., Homewood F. Lx: A Technology Platform for Customizable VLIW Embedded Processing. Proceedings of the 27th Annual International Symposium on Computer Architecture 2000, 203-213. Faraboschi et al. (2000)., June.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture
, pp. 203-213
-
-
Faraboschi, P.1
Brown, G.2
Fisher, J.A.3
Desoli, G.4
Homewood, F.5
-
125
-
-
0004064199
-
Register File Design Considerations in Dynamically Scheduled Processors
-
Farkas et al. (1995)., Nov.
-
Farkas K.I., Jouppi N.P., Chow P. Register File Design Considerations in Dynamically Scheduled Processors. DEC/WRL Research Report 95/10, Digital Equipment Corporation, Western Research Laboratory 1995, Farkas et al. (1995)., Nov.
-
(1995)
DEC/WRL Research Report 95/10, Digital Equipment Corporation, Western Research Laboratory
-
-
Farkas, K.I.1
Jouppi, N.P.2
Chow, P.3
-
126
-
-
0003420280
-
Memory-System Design Considerations for Dynamically-Scheduled Processors
-
Farkas et al. (1997a)., Feb.
-
Farkas K.I., Chow P., Jouppi N.P., Vranesic Z. Memory-System Design Considerations for Dynamically-Scheduled Processors. DEC/WRL Technical Report 97/1, Digital Equipment Corporation, Western Research Laboratory 1997, Farkas et al. (1997a)., Feb.
-
(1997)
DEC/WRL Technical Report 97/1, Digital Equipment Corporation, Western Research Laboratory
-
-
Farkas, K.I.1
Chow, P.2
Jouppi, N.P.3
Vranesic, Z.4
-
127
-
-
0031374601
-
The Multicluster Architecture: Reducing Cycle Time Through Partitioning
-
Farkas et al. (1997b)., Dec.
-
Farkas K.I., Chow P., Jouppi N.P., Vranesic Z. The Multicluster Architecture: Reducing Cycle Time Through Partitioning. Proceedings of the 30th Annual International Symposium on Microarchitecture 1997, 149-159. Farkas et al. (1997b)., Dec.
-
(1997)
Proceedings of the 30th Annual International Symposium on Microarchitecture
, pp. 149-159
-
-
Farkas, K.I.1
Chow, P.2
Jouppi, N.P.3
Vranesic, Z.4
-
129
-
-
48049121170
-
Using Queues for Register File Organization in VLIW Architectures
-
Fernandes et al. (1997)., Feb.
-
Fernandes M., Llosa J., Topham N. Using Queues for Register File Organization in VLIW Architectures. Technical Report ECS-CSG-29-97, Department of Computer Science, University of Edinburgh 1997, Fernandes et al. (1997)., Feb.
-
(1997)
Technical Report ECS-CSG-29-97, Department of Computer Science, University of Edinburgh
-
-
Fernandes, M.1
Llosa, J.2
Topham, N.3
-
131
-
-
0345994345
-
The Optimization of Horizontal Microcode Within and Beyond Basic Blocks: An Application of Processor Scheduling with Resources
-
Fisher (1979)., Oct.
-
Fisher J.A. The Optimization of Horizontal Microcode Within and Beyond Basic Blocks: An Application of Processor Scheduling with Resources. Ph. D. Dissertation, Technical Report COO-3077-161, Courant Mathematics and Computing Laboratory, New York University, New York 1979, Fisher (1979)., Oct.
-
(1979)
Ph. D. Dissertation, Technical Report COO-3077-161, Courant Mathematics and Computing Laboratory, New York University, New York
-
-
Fisher, J.A.1
-
132
-
-
0019339590
-
n Way Jump Microinstruction Hardware and an Effective Instruction Binding Method
-
Fisher (1980)., Nov.
-
n Way Jump Microinstruction Hardware and an Effective Instruction Binding Method. Proceedings of the 13th Annual Workshop on Microprogramming 1980, 64-75. Fisher (1980)., Nov.
-
(1980)
Proceedings of the 13th Annual Workshop on Microprogramming
, pp. 64-75
-
-
Fisher, J.A.1
-
133
-
-
0019596071
-
Trace Scheduling: A Technique for Global Microcode Compaction
-
Fisher (1981)., July
-
Fisher J.A. Trace Scheduling: A Technique for Global Microcode Compaction. IEEE Transactions on Computers 1981, vol. 30(no. 7):478-490. Fisher (1981)., July.
-
(1981)
IEEE Transactions on Computers
, vol.30
, Issue.7
, pp. 478-490
-
-
Fisher, J.A.1
-
135
-
-
0038658051
-
Global Code Generation for Instruction-Level Parallelism: Trace Scheduling-2
-
Fisher (1993).
-
Fisher J.A. Global Code Generation for Instruction-Level Parallelism: Trace Scheduling-2. Hewlett-Packard Laboratories Technical Report HPL-93-43 1993, Fisher (1993).
-
(1993)
Hewlett-Packard Laboratories Technical Report HPL-93-43
-
-
Fisher, J.A.1
-
137
-
-
0141585692
-
Instruction-level Parallel Processing
-
Fisher and Rau (1991)., Sept.
-
Fisher J.A., Rau B.R. Instruction-level Parallel Processing. Science 1991, vol. 253:1233-1241. Fisher and Rau (1991)., Sept.
-
(1991)
Science
, vol.253
, pp. 1233-1241
-
-
Fisher, J.A.1
Rau, B.R.2
-
144
-
-
0003935459
-
-
Foley et al. (1995)., Addison-Wesley, Reading, MA
-
Foley J.D., van Dam A., Feiner S.K., Hughes J.F. Computer Graphics: Principles and Practice in C 1995, Foley et al. (1995)., Addison-Wesley, Reading, MA. 2d ed.
-
(1995)
Computer Graphics: Principles and Practice in C
-
-
Foley, J.D.1
van Dam, A.2
Feiner, S.K.3
Hughes, J.F.4
-
145
-
-
0026916192
-
Engineering a Simple, Efficient Code-Generator Generator
-
Fraser et al. (1992a)., Sept.
-
Fraser C.W., Hanson D.R., Proebsting T.A. Engineering a Simple, Efficient Code-Generator Generator. ACM Letters on Programming Languages and Systems 1992, vol. 1(no. 3):213-226. Fraser et al. (1992a)., Sept.
-
(1992)
ACM Letters on Programming Languages and Systems
, vol.1
, Issue.3
, pp. 213-226
-
-
Fraser, C.W.1
Hanson, D.R.2
Proebsting, T.A.3
-
146
-
-
33746103662
-
BURG - Fast Optimal Instruction Selection and Tree Parsing
-
Fraser et al. (1992b)., April
-
Fraser C.W., Henry R.R., Proebsting T.A. BURG - Fast Optimal Instruction Selection and Tree Parsing. SIGPLAN Notices 1992, vol. 27(no. 4):68-76. Fraser et al. (1992b)., April.
-
(1992)
SIGPLAN Notices
, vol.27
, Issue.4
, pp. 68-76
-
-
Fraser, C.W.1
Henry, R.R.2
Proebsting, T.A.3
-
147
-
-
0004108546
-
-
Freeman and Soete (1997)., MIT Press, Cambridge, MA
-
Freeman C., Soete L. The Economics of Industrial Innovation 1997, Freeman and Soete (1997)., MIT Press, Cambridge, MA. 3d ed.
-
(1997)
The Economics of Industrial Innovation
-
-
Freeman, C.1
Soete, L.2
-
148
-
-
85133489109
-
-
Free Software Foundation GCC (2004). Web site at. http://www.gcc.gnu.org.
-
(2004)
-
-
-
150
-
-
84976676590
-
Parallel Discrete Event Simulation
-
Fujimoto (1990)., Oct.
-
Fujimoto R.M. Parallel Discrete Event Simulation. Communications of the ACM 1990, vol. 33(no. 10):30-53. Fujimoto (1990)., Oct.
-
(1990)
Communications of the ACM
, vol.33
, Issue.10
, pp. 30-53
-
-
Fujimoto, R.M.1
-
151
-
-
0003453799
-
-
Gajski et al. (1994)., Prentice Hall, Englewood Cliffs, NJ
-
Gajski D., Vahid F., Narayan S., Gong J. Specification and Design of Embedded Systems 1994, Gajski et al. (1994)., Prentice Hall, Englewood Cliffs, NJ.
-
(1994)
Specification and Design of Embedded Systems
-
-
Gajski, D.1
Vahid, F.2
Narayan, S.3
Gong, J.4
-
152
-
-
0003652206
-
-
Gajski et al. (2000)., Kluwer Academic Publishers, Boston
-
Gajski D., Zhu J., Domer R., Gerstlauer A., Zhao S. SpecC: Specification Language and Methodology 2000, Gajski et al. (2000)., Kluwer Academic Publishers, Boston.
-
(2000)
SpecC: Specification Language and Methodology
-
-
Gajski, D.1
Zhu, J.2
Domer, R.3
Gerstlauer, A.4
Zhao, S.5
-
153
-
-
84976845370
-
Dynamic Memory Disambiguation Using the Memory Conflict Buffer
-
Gallagher et al. (1994)., Oct.
-
Gallagher D.M., Chen W.Y., Mahlke S.A., Gyllenhaal J.C., Hwu W.W. Dynamic Memory Disambiguation Using the Memory Conflict Buffer. Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems 1994, 183-193. Gallagher et al. (1994)., Oct.
-
(1994)
Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 183-193
-
-
Gallagher, D.M.1
Chen, W.Y.2
Mahlke, S.A.3
Gyllenhaal, J.C.4
Hwu, W.W.5
-
154
-
-
30744462772
-
-
Ganssle and Barr (2003)., CMP Books, Lawrence, KS
-
Ganssle J., Barr M. Embedded Systems Dictionary 2003, Ganssle and Barr (2003)., CMP Books, Lawrence, KS.
-
(2003)
Embedded Systems Dictionary
-
-
Ganssle, J.1
Barr, M.2
-
156
-
-
0005329615
-
Procedure Placement Using Temporal-Ordering Information
-
Gloy and Smith (1999)., Sept.
-
Gloy N., Smith M.D. Procedure Placement Using Temporal-Ordering Information. ACM Transactions on Programming Languages and Systems 1999, vol. 21(no. 5):977-1027. Gloy and Smith (1999)., Sept.
-
(1999)
ACM Transactions on Programming Languages and Systems
, vol.21
, Issue.5
, pp. 977-1027
-
-
Gloy, N.1
Smith, M.D.2
-
157
-
-
0040534460
-
Computer Arithmetic
-
Goldberg (1996)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA, J.L. Hennessy, D.A. Patterson (Eds.)
-
Goldberg D. Computer Arithmetic. Computer Architecture: A Quantitative Approach 1996, A1-A77. Goldberg (1996)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA. 2d ed. J.L. Hennessy, D.A. Patterson (Eds.).
-
(1996)
Computer Architecture: A Quantitative Approach
, pp. A1-A77
-
-
Goldberg, D.1
-
160
-
-
0030211929
-
Optimal and Near-Optimal Global Register Allocation Using 0-1 Integer Programming
-
Goodwin and Wilken (1996)., Aug.
-
Goodwin D.W., Wilken K.D. Optimal and Near-Optimal Global Register Allocation Using 0-1 Integer Programming. Scftware-Practice and Experience 1996, vol. 26(no. 8):929-965. Goodwin and Wilken (1996)., Aug.
-
(1996)
Scftware-Practice and Experience
, vol.26
, Issue.8
, pp. 929-965
-
-
Goodwin, D.W.1
Wilken, K.D.2
-
161
-
-
0029457297
-
Comparing Algorithms For Dynamic Speed-Setting of a Low-Power CPU
-
Govil et al. (1995)., Also, Dec. 1995, Proceedings of the 1st Annual International Conference on Mobile Computing and Networking
-
Govil K., Chan E., Wasserman H. Comparing Algorithms For Dynamic Speed-Setting of a Low-Power CPU. Technical Report TR-95-017, International Computer Science Institute (ICSI), Berkeley, CA 1995, 13-25. Govil et al. (1995)., Also, Dec. 1995.
-
(1995)
Technical Report TR-95-017, International Computer Science Institute (ICSI), Berkeley, CA
, pp. 13-25
-
-
Govil, K.1
Chan, E.2
Wasserman, H.3
-
163
-
-
0005752851
-
-
Grehan et al. (1998)., Addison-Wesley, Reading, MA
-
Grehan R., Moote R., Cyliax I. Real-Time Programming: A Guide to 32-Bit Embedded Development 1998, Grehan et al. (1998)., Addison-Wesley, Reading, MA.
-
(1998)
Real-Time Programming: A Guide to 32-Bit Embedded Development
-
-
Grehan, R.1
Moote, R.2
Cyliax, I.3
-
164
-
-
85084098919
-
Policies for Dynamic Clock Scheduling
-
Grunwald et al. (2000)., Oct.
-
Grunwald D., Levis P., Farkas K., Morrey C., Neufeld M. Policies for Dynamic Clock Scheduling. Proceedings of the 4th Symposium on Operating System Design and Implementation 2000, 73-86. Grunwald et al. (2000)., Oct.
-
(2000)
Proceedings of the 4th Symposium on Operating System Design and Implementation
, pp. 73-86
-
-
Grunwald, D.1
Levis, P.2
Farkas, K.3
Morrey, C.4
Neufeld, M.5
-
165
-
-
0026158290
-
Comparative Evaluation of Latency Reducing and Tolerating Techniques
-
Gupta et al. (1991), April
-
Gupta A., Hennessy J., Gharachorloo K., Mowry T., Weber W.-D. Comparative Evaluation of Latency Reducing and Tolerating Techniques. Proceedings of the 18th Annual International Symposium on Computer Architecture 1991, 254-263. Gupta et al. (1991), April.
-
(1991)
Proceedings of the 18th Annual International Symposium on Computer Architecture
, pp. 254-263
-
-
Gupta, A.1
Hennessy, J.2
Gharachorloo, K.3
Mowry, T.4
Weber, W.-D.5
-
166
-
-
0002284699
-
Intel's P6 Uses Decoupled Superscalar Design
-
Gwennap (1995)., Feb.
-
Gwennap L. Intel's P6 Uses Decoupled Superscalar Design. Microprocessor Report 1995, vol. 9(no. 2):9-15. Gwennap (1995)., Feb.
-
(1995)
Microprocessor Report
, vol.9
, Issue.2
, pp. 9-15
-
-
Gwennap, L.1
-
167
-
-
0002327718
-
Digital 21264 Sets New Standard
-
Gwennap (1996)., Oct.
-
Gwennap L. Digital 21264 Sets New Standard. Microprocessor Report 1996, vol. 10(no. 14):11-16. Gwennap (1996)., Oct.
-
(1996)
Microprocessor Report
, vol.10
, Issue.14
, pp. 11-16
-
-
Gwennap, L.1
-
170
-
-
0031619877
-
Architectural and Compiler Support for Energy Reduction in the Memory Hierarchy of High Performance Microprocessors
-
Hajj et al. (1998)., Aug.
-
Hajj N.B.I., Stamoulis G., Bellas N., Polychronopoulos C. Architectural and Compiler Support for Energy Reduction in the Memory Hierarchy of High Performance Microprocessors. Proceedings of the 1998 International Symposium on Low Power Electronics and Design 1998, 70-75. Hajj et al. (1998)., Aug.
-
(1998)
Proceedings of the 1998 International Symposium on Low Power Electronics and Design
, pp. 70-75
-
-
Hajj, N.B.I.1
Stamoulis, G.2
Bellas, N.3
Polychronopoulos, C.4
-
171
-
-
84893597192
-
Expression: A Language for Architecture Exploration Through Compiler/Simulator Retargetability
-
Halambi et al. (1999)., Jan.
-
Halambi A., Grun P., Ganesh V., Khare A., Dutt N., Nicolau A. Expression: A Language for Architecture Exploration Through Compiler/Simulator Retargetability. Proceedings of the 1999 Conference on Design Automation and Test in Europe 1999, 485-490. Halambi et al. (1999)., Jan.
-
(1999)
Proceedings of the 1999 Conference on Design Automation and Test in Europe
, pp. 485-490
-
-
Halambi, A.1
Grun, P.2
Ganesh, V.3
Khare, A.4
Dutt, N.5
Nicolau, A.6
-
172
-
-
85133410487
-
-
Harvard Machine SUIF (2004). Web site at. http://www.eecs.harvard.edu/hube/research/machsuif.html.
-
(2004)
-
-
-
174
-
-
0003658861
-
-
Haskell et al. (1997)., Chapman & Hall, London
-
Haskell B.G., Puri A., Netravali A.N. Digital Video: An Introduction to MPEG-2 1997, Haskell et al. (1997)., Chapman & Hall, London.
-
(1997)
Digital Video: An Introduction to MPEG-2
-
-
Haskell, B.G.1
Puri, A.2
Netravali, A.N.3
-
176
-
-
0038244931
-
Treegion Scheduling for VLIW Processors
-
Havanki (1997)., July
-
Havanki W.A. Treegion Scheduling for VLIW Processors. MS Thesis, Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 1997, Havanki (1997)., July.
-
(1997)
MS Thesis, Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC
-
-
Havanki, W.A.1
-
179
-
-
0004302191
-
-
Hennessy and Patterson (2003)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Hennessy J.L., Patterson D.A. Computer Architecture: A Quantitative Approach 2003, Hennessy and Patterson (2003)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA. 3d ed.
-
(2003)
Computer Architecture: A Quantitative Approach
-
-
Hennessy, J.L.1
Patterson, D.A.2
-
180
-
-
33645607878
-
-
Hill et al. (1999)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Hill M.D., Jouppi N.P., Sohi G. Readings in Computer Architecture 1999, Hill et al. (1999)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA.
-
(1999)
Readings in Computer Architecture
-
-
Hill, M.D.1
Jouppi, N.P.2
Sohi, G.3
-
181
-
-
0003278283
-
The Microarchitecture of the Pentium 4 Processor
-
Hinton et al. (2001)., Q1, Feb.
-
Hinton G., Sager D., Upton M., Boggs D., Carmean D., Kyker A., Roussel P. The Microarchitecture of the Pentium 4 Processor. Intel Technology Journal 2001, Hinton et al. (2001)., Q1, Feb.
-
(2001)
Intel Technology Journal
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
182
-
-
0031624030
-
Power Optimization of Variable Voltage Core-Based Systems
-
Hong et al. (1998)., May
-
Hong I., Kirovski D., Qu G., Potkonjak M., Srivastava M. Power Optimization of Variable Voltage Core-Based Systems. Proceedings of the 35th Conference on Design Automation 1998, 176-181. Hong et al. (1998)., May.
-
(1998)
Proceedings of the 35th Conference on Design Automation
, pp. 176-181
-
-
Hong, I.1
Kirovski, D.2
Qu, G.3
Potkonjak, M.4
Srivastava, M.5
-
183
-
-
0031623719
-
Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator
-
Hanono and Devadas (1998)., June
-
Hanono S., Devadas S. Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator. Proceedings of the 35th Design Automation Conference 1998, 510-515. Hanono and Devadas (1998)., June.
-
(1998)
Proceedings of the 35th Design Automation Conference
, pp. 510-515
-
-
Hanono, S.1
Devadas, S.2
-
184
-
-
85013763240
-
Network Processors: A Definition and Comparison
-
Husak (2000).
-
Husak D. Network Processors: A Definition and Comparison. C-Port White Paper, Motorola 2000, Husak (2000).
-
(2000)
C-Port White Paper, Motorola
-
-
Husak, D.1
-
186
-
-
0023587656
-
Checkpoint Repair for High-Performance Out-of-Order Execution Machines
-
Hwu and Patt (1987)., Dec.
-
Hwu W.W., Patt Y.N. Checkpoint Repair for High-Performance Out-of-Order Execution Machines. IEEE Transactions on Computers 1987, vol. 36(no. 12):1496-1514. Hwu and Patt (1987)., Dec.
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.12
, pp. 1496-1514
-
-
Hwu, W.W.1
Patt, Y.N.2
-
187
-
-
0027595384
-
The Superblock: An Effective Technique for VLIW and Superscalar Compilation
-
Hwu et al. (1993)., May
-
Hwu W.W., Mahlke S.A., Chen W.Y., Chang P.P., Warter N.J., Bringmann R.A., Ouellette R.G., Hank R.E., Kiyohara T., Haab G.E., Holm J.G., Lavery D.M. The Superblock: An Effective Technique for VLIW and Superscalar Compilation. The Journal of Supercomputing 1993, vol. 7(no. 1-2):229-248. Hwu et al. (1993)., May.
-
(1993)
The Journal of Supercomputing
, vol.7
, Issue.1-2
, pp. 229-248
-
-
Hwu, W.W.1
Mahlke, S.A.2
Chen, W.Y.3
Chang, P.P.4
Warter, N.J.5
Bringmann, R.A.6
Ouellette, R.G.7
Hank, R.E.8
Kiyohara, T.9
Haab, G.E.10
Holm, J.G.11
Lavery, D.M.12
-
188
-
-
0003701567
-
CodePack: PowerPC Code Compression Utility User's Manual Version 3.0
-
IBM (1998).
-
International Business Machines (IBM) Corporation CodePack: PowerPC Code Compression Utility User's Manual Version 3.0. IBM Technical Report 1998, IBM (1998).
-
(1998)
IBM Technical Report
-
-
-
191
-
-
0004061773
-
-
IEEE (1995)., Institute of Electrical and Electronics Engineers
-
IEEE 1394-1995 IEEE Standard for a High Performance Serial Bus-Firewire 1995, IEEE (1995)., Institute of Electrical and Electronics Engineers.
-
(1995)
IEEE Standard for a High Performance Serial Bus-Firewire
-
-
-
192
-
-
85133437953
-
-
Impact Resarch Group (2004). Web site at. http://www.crhc.uiuc.edu/Impact.
-
(2004)
-
-
-
196
-
-
85133426946
-
-
ISO JPEG (2004). Web site at. http://www.jpeg.org.
-
(2004)
-
-
-
198
-
-
0020248659
-
Monte Carlo Techniques in Code Optimization
-
Jacobs et al. (1982)., Oct.
-
Jacobs D., Prins J., Siegel P., Wilson K. Monte Carlo Techniques in Code Optimization. Proceedings of the 15th Annual Workshop on Microprogramming 1982, 143-148. Jacobs et al. (1982)., Oct.
-
(1982)
Proceedings of the 15th Annual Workshop on Microprogramming
, pp. 143-148
-
-
Jacobs, D.1
Prins, J.2
Siegel, P.3
Wilson, K.4
-
199
-
-
0032318126
-
Test Vector Decompression via Cyclical Scan Chains and its Applications to Testing Core-Based Designs
-
Jas and Touba (1998)., Oct.
-
Jas A., Touba N.A. Test Vector Decompression via Cyclical Scan Chains and its Applications to Testing Core-Based Designs. Proceedings of the 1998 IEEE International Test Conference 1998, 458-464. Jas and Touba (1998)., Oct.
-
(1998)
Proceedings of the 1998 IEEE International Test Conference
, pp. 458-464
-
-
Jas, A.1
Touba, N.A.2
-
202
-
-
0003832221
-
Fast Concurrent Simulation Using the Time Warp Mechanism, Part I: Local Control
-
Jefferson and Sowizral (1982)., Dec., The Rand Corporation
-
Jefferson D.R., Sowizral H. Fast Concurrent Simulation Using the Time Warp Mechanism, Part I: Local Control. Rand Note N-1906AF 1982, Jefferson and Sowizral (1982)., Dec., The Rand Corporation.
-
(1982)
Rand Note N-1906AF
-
-
Jefferson, D.R.1
Sowizral, H.2
-
205
-
-
0026238111
-
A 9-ns 1.4 Gigabyte/s, 17-Ported CMOS Register File
-
Jolly (1991)., Oct.
-
Jolly R.D. A 9-ns 1.4 Gigabyte/s, 17-Ported CMOS Register File. IEEE Journal of Solid-State Circuits 1991, vol. 26(no. 10):1407-1412. Jolly (1991)., Oct.
-
(1991)
IEEE Journal of Solid-State Circuits
, vol.26
, Issue.10
, pp. 1407-1412
-
-
Jolly, R.D.1
-
206
-
-
0037953262
-
Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers
-
Jouppi (1990)., March, Digital Equipment Corporation, Western Research Laboratory
-
Jouppi N.P. Improving Direct-Mapped Cache Performance by the Addition of a Small Fully-Associative Cache and Prefetch Buffers. DEC/WRL Technical Note TN-14 1990, Jouppi (1990)., March, Digital Equipment Corporation, Western Research Laboratory.
-
(1990)
DEC/WRL Technical Note TN-14
-
-
Jouppi, N.P.1
-
207
-
-
20744433925
-
Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines
-
Jouppi and Wall (1989)., July
-
Jouppi N.P., Wall D.W. Available Instruction-Level Parallelism for Superscalar and Superpipelined Machines. DEC/WRL Research Report 89/7, Digital Equipment Corporation, Western Research Laboratory 1989, Jouppi and Wall (1989)., July.
-
(1989)
DEC/WRL Research Report 89/7, Digital Equipment Corporation, Western Research Laboratory
-
-
Jouppi, N.P.1
Wall, D.W.2
-
208
-
-
85133410638
-
-
JPEG Group (2004). Web site at. http://www.ijg.org.
-
(2004)
-
-
-
211
-
-
0033718333
-
Influence of Compiler Optimizations on System Power
-
Kandemir et al. (2000)., June
-
Kandemir M., Vijaykrishnan N., Irwin M.J., Ye W. Influence of Compiler Optimizations on System Power. Proceedings of the 37th Conference on Design Automation 2000, 304-307. Kandemir et al. (2000)., June.
-
(2000)
Proceedings of the 37th Conference on Design Automation
, pp. 304-307
-
-
Kandemir, M.1
Vijaykrishnan, N.2
Irwin, M.J.3
Ye, W.4
-
213
-
-
0004049308
-
HPL PlayDoh Architecture Specification: Version 1.0
-
Kathail et al. (1994)., March
-
Kathail V., Schlansker M., Rau B.R. HPL PlayDoh Architecture Specification: Version 1.0. Technical Report HPL-93-80, Hewlett-Packard Laboratories 1994, Kathail et al. (1994)., March.
-
(1994)
Technical Report HPL-93-80, Hewlett-Packard Laboratories
-
-
Kathail, V.1
Schlansker, M.2
Rau, B.R.3
-
214
-
-
0032207443
-
A Decompression Core for PowerPC
-
Kemp et al. (1998)., Nov.
-
Kemp T.M., Montoye R.K., Harper J.D., Palmer J.D., Auerbach D.J. A Decompression Core for PowerPC. IBM Journal of Research and Development 1998, vol. 42(no. 6):807-812. Kemp et al. (1998)., Nov.
-
(1998)
IBM Journal of Research and Development
, vol.42
, Issue.6
, pp. 807-812
-
-
Kemp, T.M.1
Montoye, R.K.2
Harper, J.D.3
Palmer, J.D.4
Auerbach, D.J.5
-
215
-
-
0004249187
-
-
Kernighan and Pike (1999)., Addison-Wesley, Reading, MA
-
Kernighan B.W., Pike R. The Practice of Programming 1999, Kernighan and Pike (1999)., Addison-Wesley, Reading, MA.
-
(1999)
The Practice of Programming
-
-
Kernighan, B.W.1
Pike, R.2
-
216
-
-
0003405432
-
-
Kernighan and Ritchie (1978)., Prentice Hall, Englewood Cliffs, NJ
-
Kernighan B.W., Ritchie D.M. The C Programming Language 1978, Kernighan and Ritchie (1978)., Prentice Hall, Englewood Cliffs, NJ.
-
(1978)
The C Programming Language
-
-
Kernighan, B.W.1
Ritchie, D.M.2
-
217
-
-
0003405432
-
-
Kernighan and Ritchie (1988)., Prentice Hall, Englewood Cliffs, NJ
-
Kernighan B.W., Ritchie D.M. The C Programming Language 1988, Kernighan and Ritchie (1988)., Prentice Hall, Englewood Cliffs, NJ. 2d ed.
-
(1988)
The C Programming Language
-
-
Kernighan, B.W.1
Ritchie, D.M.2
-
220
-
-
0003902445
-
The Technology Behind Crusoe Processors
-
Klaiber (2000)., Jan.
-
Klaiber A. The Technology Behind Crusoe Processors. White Paper, Transmeta Corporation 2000, Klaiber (2000)., Jan.
-
(2000)
White Paper, Transmeta Corporation
-
-
Klaiber, A.1
-
221
-
-
0003637864
-
-
Elsevier, Burlington, MA, W.B. Klejin, K.K. Paliwal (Eds.)
-
Speech Coding and Synthesis 1995, Elsevier, Burlington, MA. W.B. Klejin, K.K. Paliwal (Eds.).
-
(1995)
Speech Coding and Synthesis
-
-
-
222
-
-
84882150095
-
Parallel Algorithms for the Efficient Solution of Recurrence Problems
-
Kogge (1972), Dec.
-
Kogge P.M. Parallel Algorithms for the Efficient Solution of Recurrence Problems. Ph.D. Thesis, Standford University 1972, Kogge (1972), Dec.
-
(1972)
Ph.D. Thesis, Standford University
-
-
Kogge, P.M.1
-
227
-
-
0028288772
-
Architectural Principles for Safety-Critical Real-Time Applications
-
Lala and Harper (1994)., Jan., Jan.
-
Lala J.H., Harper R.E. Architectural Principles for Safety-Critical Real-Time Applications. Proceedings of the IEEE 1994, vol. 82:25-40. Lala and Harper (1994)., Jan., Jan.
-
(1994)
Proceedings of the IEEE
, vol.82
, pp. 25-40
-
-
Lala, J.H.1
Harper, R.E.2
-
231
-
-
0019057845
-
Local Microcode Compaction Techniques
-
Landskov et al. (1980)., Sept.
-
Landskov D., Davidson S., Shriver B., Mallett P.W. Local Microcode Compaction Techniques. ACM Computing Surveys 1980, vol. 12(no. 3):261-294. Landskov et al. (1980)., Sept.
-
(1980)
ACM Computing Surveys
, vol.12
, Issue.3
, pp. 261-294
-
-
Landskov, D.1
Davidson, S.2
Shriver, B.3
Mallett, P.W.4
-
232
-
-
0002421081
-
Chess: Retargetable Code Generation for Embedded DSP Processors
-
Lanneer et al. (1995)., Kluwer Academic Publishers, Boston, P. Marwedel, G. Goossens (Eds.)
-
Lanneer D., Van Praet J., Kifli A., Schoofs K., Geurts W., Thoen F., Goossens G. Chess: Retargetable Code Generation for Embedded DSP Processors. Code Generation for Embedded Processors 1995, 85-102. Lanneer et al. (1995)., Kluwer Academic Publishers, Boston. P. Marwedel, G. Goossens (Eds.).
-
(1995)
Code Generation for Embedded Processors
, pp. 85-102
-
-
Lanneer, D.1
Van Praet, J.2
Kifli, A.3
Schoofs, K.4
Geurts, W.5
Thoen, F.6
Goossens, G.7
-
233
-
-
0036660095
-
Cluster Assignment for High-Performance Embedded VLIW Processors
-
Lapinskii et al. (2002)., July
-
Lapinskii V.S., Jacome M.F., De Veciana G.A. Cluster Assignment for High-Performance Embedded VLIW Processors. ACM Transactions on Design Automation of Electronic Systems 2002, vol. 7(no. 3):430-454. Lapinskii et al. (2002)., July.
-
(2002)
ACM Transactions on Design Automation of Electronic Systems
, vol.7
, Issue.3
, pp. 430-454
-
-
Lapinskii, V.S.1
Jacome, M.F.2
De Veciana, G.A.3
-
235
-
-
84942211747
-
Efficient Program Tracing
-
Larus (1993)., May
-
Larus J.R. Efficient Program Tracing. IEEE Computer 1993, vol. 26(no. 5):52-61. Larus (1993)., May.
-
(1993)
IEEE Computer
, vol.26
, Issue.5
, pp. 52-61
-
-
Larus, J.R.1
-
237
-
-
0026137432
-
MPEG: A Video Compression Standard for Multimedia Applications
-
Le Gall (1991)., April
-
Le Gall D. MPEG: A Video Compression Standard for Multimedia Applications. Communications of the ACM 1991, vol. 34(no. 4):46-58. Le Gall (1991)., April.
-
(1991)
Communications of the ACM
, vol.34
, Issue.4
, pp. 46-58
-
-
Le Gall, D.1
-
238
-
-
85013836122
-
Multimedia Enhancements for PA-RISC Processors
-
Lee (1994)., Aug.
-
Lee R. Multimedia Enhancements for PA-RISC Processors. Proceedings of Hot Chips VI 1994, 183-192. Lee (1994)., Aug.
-
(1994)
Proceedings of Hot Chips VI
, pp. 183-192
-
-
Lee, R.1
-
239
-
-
0029290814
-
Accelerating Multimedia with Enhanced Microprocessors
-
Lee (1995)., April
-
Lee R. Accelerating Multimedia with Enhanced Microprocessors. IEEE Micro 1995, vol. 15(no. 2):22-32. Lee (1995)., April.
-
(1995)
IEEE Micro
, vol.15
, Issue.2
, pp. 22-32
-
-
Lee, R.1
-
240
-
-
77956739948
-
Embedded Software
-
Lee (2002)., Also at web site., Academic Press, London, M. Zelkowitz (Ed.)
-
Lee E. Embedded Software. Advances in Computers 2002, Lee (2002)., Also at web site http://www.ptolemy.eecs.berkeley.edu/publications/papers/02/embsoft/embsoftwre.pdf., Academic Press, London. M. Zelkowitz (Ed.).
-
(2002)
Advances in Computers
-
-
Lee, E.1
-
241
-
-
0029546568
-
Power Analysis and Low-Power Scheduling Techniques for Embedded DSP Software
-
Lee et al. (1995)., Sept.
-
Lee M.T.-C., Tiwari V., Malik S., Fujita M. Power Analysis and Low-Power Scheduling Techniques for Embedded DSP Software. Proceedings of the 8th International Symposium on System Synthesis 1995, 110-115. Lee et al. (1995)., Sept.
-
(1995)
Proceedings of the 8th International Symposium on System Synthesis
, pp. 110-115
-
-
Lee, M.T.-C.1
Tiwari, V.2
Malik, S.3
Fujita, M.4
-
242
-
-
0031374419
-
Improving Code Density Using Compression Techniques
-
Lefurgy et al. (1997)., Dec.
-
Lefurgy C., Bird P., Chen I.-C., Mudge T. Improving Code Density Using Compression Techniques. Proceedings of the 30th Annual International Symposium on Microarchitecture 1997, 194-203. Lefurgy et al. (1997)., Dec.
-
(1997)
Proceedings of the 30th Annual International Symposium on Microarchitecture
, pp. 194-203
-
-
Lefurgy, C.1
Bird, P.2
Chen, I.-C.3
Mudge, T.4
-
251
-
-
0029236381
-
Code Optimization Techniques for Embedded DSP Microprocessors
-
Liao et al. (1995)., Jan.
-
Liao S., Devadas S., Keutzer K., Tjiang S., Wang A. Code Optimization Techniques for Embedded DSP Microprocessors. Proceedings of the 32nd Conference on Design Automation 1995, 599-604. Liao et al. (1995)., Jan.
-
(1995)
Proceedings of the 32nd Conference on Design Automation
, pp. 599-604
-
-
Liao, S.1
Devadas, S.2
Keutzer, K.3
Tjiang, S.4
Wang, A.5
-
252
-
-
0345520900
-
Code Generation and Optimization Techniques for Embedded Digital Signal Processors
-
Liao et al. (1996)., Kluwer Academic Publishers, Boston, G. de Micheli, M. Sami (Eds.)
-
Liao S., Devadas S., Keutzer K., Tjiang S., Wang A., Araujo G., Sudarsanam A., Malik S., Zivojnovic V., Meyr H. Code Generation and Optimization Techniques for Embedded Digital Signal Processors. Hardware/Software Co-Design 1996, Liao et al. (1996)., Kluwer Academic Publishers, Boston. G. de Micheli, M. Sami (Eds.).
-
(1996)
Hardware/Software Co-Design
-
-
Liao, S.1
Devadas, S.2
Keutzer, K.3
Tjiang, S.4
Wang, A.5
Araujo, G.6
Sudarsanam, A.7
Malik, S.8
Zivojnovic, V.9
Meyr, H.10
-
253
-
-
0004220511
-
-
Lindholm and Yellin (1999)., Addison-Wesley, Reading, MA
-
Lindholm T., Yellin F. The Java Virtual Machine Specification 1999, Lindholm and Yellin (1999)., Addison-Wesley, Reading, MA. 2d ed.
-
(1999)
The Java Virtual Machine Specification
-
-
Lindholm, T.1
Yellin, F.2
-
254
-
-
1642460396
-
-
Lions (1996)., AnnaBooks (RTC Books), San Clemente, CA
-
Lions J. Lions' Commentary on UNIX 1977, Lions (1996)., AnnaBooks (RTC Books), San Clemente, CA. 6th ed.
-
(1977)
Lions' Commentary on UNIX
-
-
Lions, J.1
-
256
-
-
84974687699
-
Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment
-
Liu and Layland (1973)., Jan.
-
Liu C.L., Layland J.W. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment. Journal of the ACM 1973, vol. 20(no. 1):46-61. Liu and Layland (1973)., Jan.
-
(1973)
Journal of the ACM
, vol.20
, Issue.1
, pp. 46-61
-
-
Liu, C.L.1
Layland, J.W.2
-
258
-
-
0029488251
-
Hypernode Reduction Modulo Scheduling
-
Llosa et al. (1995)., Dec.
-
Llosa J., Valero M., Ayguadé E., González A. Hypernode Reduction Modulo Scheduling. Proceedings of the 28th Annual International Symposium on Microarchitecture 1995, 350-360. Llosa et al. (1995)., Dec.
-
(1995)
Proceedings of the 28th Annual International Symposium on Microarchitecture
, pp. 350-360
-
-
Llosa, J.1
Valero, M.2
Ayguadé, E.3
González, A.4
-
259
-
-
0029728670
-
Swing Modulo Scheduling: A Lifetime-Sensitive Approach
-
Llosa et al. (1996)., Oct.
-
Llosa J., González A., Valero M., Ayguadé E. Swing Modulo Scheduling: A Lifetime-Sensitive Approach. Proceedings of the 1996 International Conference on Parallel Architectures and Compilation Techniques 1996, 80-86. Llosa et al. (1996)., Oct.
-
(1996)
Proceedings of the 1996 International Conference on Parallel Architectures and Compilation Techniques
, pp. 80-86
-
-
Llosa, J.1
González, A.2
Valero, M.3
Ayguadé, E.4
-
260
-
-
0000080761
-
Modulo Scheduling with Reduced Register Pressure
-
Llosa et al. (1998)., June
-
Llosa J., Valero M., Ayguadé E., González A. Modulo Scheduling with Reduced Register Pressure. IEEE Transactions on Computers 1998, vol. 47(no. 6):625-638. Llosa et al. (1998)., June.
-
(1998)
IEEE Transactions on Computers
, vol.47
, Issue.6
, pp. 625-638
-
-
Llosa, J.1
Valero, M.2
Ayguadé, E.3
González, A.4
-
261
-
-
0027592731
-
The Multiflow Trace Scheduling Compiler
-
Lowney et al. (1993)., May
-
Lowney P.G., Freudenberger S.M., Karzes T.J., Lichtenstein W.D., Nix R.P., O'Donnell J.S., Ruttenberg J.C. The Multiflow Trace Scheduling Compiler. Journal of Supercomputing 1993, vol. 7(no. 1-2):51-142. Lowney et al. (1993)., May.
-
(1993)
Journal of Supercomputing
, vol.7
, Issue.1-2
, pp. 51-142
-
-
Lowney, P.G.1
Freudenberger, S.M.2
Karzes, T.J.3
Lichtenstein, W.D.4
Nix, R.P.5
O'Donnell, J.S.6
Ruttenberg, J.C.7
-
263
-
-
0032308865
-
Cooperative Prefetching: Compiler and Hardware Support for Effective Instruction Prefetching in Modern Processors
-
Luk and Mowry (1998)., Nov.
-
Luk C.-K., Mowry T.C. Cooperative Prefetching: Compiler and Hardware Support for Effective Instruction Prefetching in Modern Processors. Proceedings of the 31st Annual International Symposium on Microarchitecture 1998, 182-194. Luk and Mowry (1998)., Nov.
-
(1998)
Proceedings of the 31st Annual International Symposium on Microarchitecture
, pp. 182-194
-
-
Luk, C.-K.1
Mowry, T.C.2
-
264
-
-
0032202596
-
High-Level Power Modeling, Estimation, and Optimization
-
Macii et al. (1998)., Nov.
-
Macii E., Pedram M., Somenzi F. High-Level Power Modeling, Estimation, and Optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1998, vol. 17(no. 11):1061-1079. Macii et al. (1998)., Nov.
-
(1998)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.17
, Issue.11
, pp. 1061-1079
-
-
Macii, E.1
Pedram, M.2
Somenzi, F.3
-
265
-
-
0031331453
-
Efficient Instruction Cache Simulation and Execution Profiling with a Threaded-Code Interpreter
-
Magnusson (1997)., Dec.
-
Magnusson P.S. Efficient Instruction Cache Simulation and Execution Profiling with a Threaded-Code Interpreter. Proceedings of the 29th Conference on Winter Simulation 1997, 1093-1100. Magnusson (1997)., Dec.
-
(1997)
Proceedings of the 29th Conference on Winter Simulation
, pp. 1093-1100
-
-
Magnusson, P.S.1
-
266
-
-
0036469676
-
Simics: A Full System Simulation Platform
-
Magnusson et al. (2002)., Feb.
-
Magnusson P.S., Christensson M., Eskilson J., Forsgren D., Hllberg G., Högberg J., Larsson F., Moestedt A., Werner B. Simics: A Full System Simulation Platform. IEEE Computer 2002, vol. 35(no. 2):50-58. Magnusson et al. (2002)., Feb.
-
(2002)
IEEE Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hllberg, G.5
Högberg, J.6
Larsson, F.7
Moestedt, A.8
Werner, B.9
-
267
-
-
0026980852
-
Effective Compiler Support for Predicated Execution Using the Hyperblock
-
Mahlke et al. (1992)., Dec.
-
Mahlke S.A., Lin D.C., Chen W.Y., Hank R.E., Bringmann R.A. Effective Compiler Support for Predicated Execution Using the Hyperblock. Proceedings of the 25th Annual International Symposium on Microarchitecture 1992, 45-54. Mahlke et al. (1992)., Dec.
-
(1992)
Proceedings of the 25th Annual International Symposium on Microarchitecture
, pp. 45-54
-
-
Mahlke, S.A.1
Lin, D.C.2
Chen, W.Y.3
Hank, R.E.4
Bringmann, R.A.5
-
268
-
-
0027695220
-
Sentinel Scheduling: A Model for Compiler-Controlled Speculative Execution
-
Mahlke et al. (1993)., Nov.
-
Mahlke S.A., Chen W.Y., Bringmann R.A., Hank R.E., Hwu W.W., Rau B.R., Schlansker M.S. Sentinel Scheduling: A Model for Compiler-Controlled Speculative Execution. ACM Transactions on Computer Systems 1993, vol. 11(no. 4):376-408. Mahlke et al. (1993)., Nov.
-
(1993)
ACM Transactions on Computer Systems
, vol.11
, Issue.4
, pp. 376-408
-
-
Mahlke, S.A.1
Chen, W.Y.2
Bringmann, R.A.3
Hank, R.E.4
Hwu, W.W.5
Rau, B.R.6
Schlansker, M.S.7
-
269
-
-
0029201553
-
A Comparison of Full and Partial Predicated Execution Support for ILP Processors
-
Mahlke et al. (1995)., May
-
Mahlke S.A., Hank R.E., McCormick J.E., August D.I., Hwu W.W. A Comparison of Full and Partial Predicated Execution Support for ILP Processors. Proceedings of the 22nd Annual International Symposium on Computer Architecture 1995, 138-150. Mahlke et al. (1995)., May.
-
(1995)
Proceedings of the 22nd Annual International Symposium on Computer Architecture
, pp. 138-150
-
-
Mahlke, S.A.1
Hank, R.E.2
McCormick, J.E.3
August, D.I.4
Hwu, W.W.5
-
270
-
-
0016495091
-
Linear Prediction: A Tutorial Review
-
Makhoul (1975)., April, April
-
Makhoul J. Linear Prediction: A Tutorial Review. Proceedings of the IEEE 1975, vol. 63:561-580. Makhoul (1975)., April, April.
-
(1975)
Proceedings of the IEEE
, vol.63
, pp. 561-580
-
-
Makhoul, J.1
-
275
-
-
0003984174
-
-
McConnell (2004)., Microsoft Press, Redmond, WA
-
McConnell S. Code Complete 2004, McConnell (2004)., Microsoft Press, Redmond, WA. 2d ed.
-
(2004)
Code Complete
-
-
McConnell, S.1
-
277
-
-
85013832644
-
SDRAMs Ready to Enter PC Mainstream
-
Microprocessor Report (1996)., May
-
Przybylski S. SDRAMs Ready to Enter PC Mainstream. Microprocessor Report 1996, vol. 10(no. 6):17-23. Microprocessor Report (1996)., May.
-
(1996)
Microprocessor Report
, vol.10
, Issue.6
, pp. 17-23
-
-
Przybylski, S.1
-
279
-
-
0030285348
-
A 160-MHz, 32-b, 0.5-W CMOS RISC Microprocessor
-
Montanaro et al. (1996)., Nov.
-
Montanaro J., Witek R.T., Anne K., Black A.J., Cooper E.M., Dobberpuhl D.W., Donahue P.M., Eno J., Hoeppner W., Kruckemyer D., Lee T.H., Lin P.C.M., Madden L., Murray D., Pearce M.H., Santhanam S., Snyder K.J., Stephany R., Thierauf S.C. A 160-MHz, 32-b, 0.5-W CMOS RISC Microprocessor. IEEE Journal of Solid-State Circuits 1996, vol. 31(no. 11):1703-1714. Montanaro et al. (1996)., Nov.
-
(1996)
IEEE Journal of Solid-State Circuits
, vol.31
, Issue.11
, pp. 1703-1714
-
-
Montanaro, J.1
Witek, R.T.2
Anne, K.3
Black, A.J.4
Cooper, E.M.5
Dobberpuhl, D.W.6
Donahue, P.M.7
Eno, J.8
Hoeppner, W.9
Kruckemyer, D.10
Lee, T.H.11
Lin, P.C.M.12
Madden, L.13
Murray, D.14
Pearce, M.H.15
Santhanam, S.16
Snyder, K.J.17
Stephany, R.18
Thierauf, S.C.19
-
280
-
-
0026961845
-
An Efficient Resource-Constrained Global Scheduling Technique for Superscalar and VLIW Processors
-
Moon and Ebcio?lu (1992)., Dec.
-
Moon S.-M., Ebcio?lu K. An Efficient Resource-Constrained Global Scheduling Technique for Superscalar and VLIW Processors. Proceedings of the 25th Annual International Symposium on Microarchitecture 1992, 55-71. Moon and Ebcio?lu (1992)., Dec.
-
(1992)
Proceedings of the 25th Annual International Symposium on Microarchitecture
, pp. 55-71
-
-
Moon, S.-M.1
Ebciolu, K.2
-
281
-
-
0031274169
-
Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining
-
Moon and Ebcio?lu (1997)., Nov.
-
Moon S.-M., Ebcio?lu K. Parallelizing Nonnumerical Code with Selective Scheduling and Software Pipelining. ACM Transactions on Programming Languages and Systems 1997, 19(6):853-898. Moon and Ebcio?lu (1997)., Nov.
-
(1997)
ACM Transactions on Programming Languages and Systems
, vol.19
, Issue.6
, pp. 853-898
-
-
Moon, S.-M.1
Ebciolu, K.2
-
283
-
-
84882212391
-
-
Motorola (2001)., Web site at
-
Motorola, Inc. C-Port Network Processors Reference Manual 2001, Motorola (2001)., Web site at. http://e-www.motorola.com.
-
(2001)
C-Port Network Processors Reference Manual
-
-
-
284
-
-
0003497543
-
Combining Register Allocation and Instruction Scheduling
-
Motwani et al. (1995).
-
Motwani R., Palem K.V., Sarkar V., Reyen S. Combining Register Allocation and Instruction Scheduling. Technical Report CS-TN-95-22, Department of Computer Science, Stanford University, Aug. 1995, Motwani et al. (1995).
-
(1995)
Technical Report CS-TN-95-22, Department of Computer Science, Stanford University, Aug.
-
-
Motwani, R.1
Palem, K.V.2
Sarkar, V.3
Reyen, S.4
-
286
-
-
0002031606
-
Tolerating Latency Through Software-Controlled Prefetching in Shared-Memory Multiprocessors
-
Mowry and Gupta (1991)., June
-
Mowry T.C., Gupta A. Tolerating Latency Through Software-Controlled Prefetching in Shared-Memory Multiprocessors. Journal of Parallel and Distributed Computing 1991, vol. 12(no. 2):87-106. Mowry and Gupta (1991)., June.
-
(1991)
Journal of Parallel and Distributed Computing
, vol.12
, Issue.2
, pp. 87-106
-
-
Mowry, T.C.1
Gupta, A.2
-
288
-
-
0003502903
-
-
Muchnick (1997)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA
-
Muchnick S.S. Advanced Compiler Design and Implementation 1997, Muchnick (1997)., Morgan Kaufmann Publishers (an imprint of Elsevier), San Francisco, CA.
-
(1997)
Advanced Compiler Design and Implementation
-
-
Muchnick, S.S.1
-
290
-
-
3042572987
-
-
Müller et al. (2003)., Kluwer Academic Publishers, Boston
-
Müller W., Rosenstiel W., Ruf J. SystemC: Methodologies and Applications 2003, Müller et al. (2003)., Kluwer Academic Publishers, Boston.
-
(2003)
SystemC: Methodologies and Applications
-
-
Müller, W.1
Rosenstiel, W.2
Ruf, J.3
-
291
-
-
0025455576
-
Fault-Tolerant Computing: Fundamental Concepts
-
Nelson (1990)., July
-
Nelson V.P. Fault-Tolerant Computing: Fundamental Concepts. IEEE Computer 1990, vol. 23(no. 7):19-25. Nelson (1990)., July.
-
(1990)
IEEE Computer
, vol.23
, Issue.7
, pp. 19-25
-
-
Nelson, V.P.1
-
293
-
-
0032070598
-
Advances in Disk Technology: Performance Issues
-
Ng (1998)., May
-
Ng S.W. Advances in Disk Technology: Performance Issues. IEEE Computer 1998, vol. 31(no. 5):75-81. Ng (1998)., May.
-
(1998)
IEEE Computer
, vol.31
, Issue.5
, pp. 75-81
-
-
Ng, S.W.1
-
294
-
-
3142686920
-
Using an Oracle to Measure Potential Parallelism in Single Instruction Stream Programs
-
Nicolau and Fisher (1981)., Dec.
-
Nicolau A., Fisher J.A. Using an Oracle to Measure Potential Parallelism in Single Instruction Stream Programs. Proceedings of the 14th Annual Workshop on Microprogramming 1981, 171-182. Nicolau and Fisher (1981)., Dec.
-
(1981)
Proceedings of the 14th Annual Workshop on Microprogramming
, pp. 171-182
-
-
Nicolau, A.1
Fisher, J.A.2
-
295
-
-
0036054365
-
A Universal Technique for Fast and Flexible Instruction-Set Architecture Simulation
-
Nohl et al. (2002)., June
-
Nohl A., Braun G., Schliebusch O., Leupers R., Meyr H., Hoffmann A. A Universal Technique for Fast and Flexible Instruction-Set Architecture Simulation. Proceedings of the 39th Conference on Design Automation 2002, 22-27. Nohl et al. (2002)., June.
-
(2002)
Proceedings of the 39th Conference on Design Automation
, pp. 22-27
-
-
Nohl, A.1
Braun, G.2
Schliebusch, O.3
Leupers, R.4
Meyr, H.5
Hoffmann, A.6
-
296
-
-
0004012918
-
-
OCB (2000)., Virtual Sockets Interface (VSI) Alliance, Nov.
-
OCB Development Working Group Virtual Component Interface Standard 2000, OCB (2000)., Virtual Sockets Interface (VSI) Alliance, Nov.
-
(2000)
Virtual Component Interface Standard
-
-
-
297
-
-
0003513556
-
-
Oppenheim and Schafer (1975)., Prentice Hall, Englewood Cliffs, NJ
-
Oppenheim A.V., Schafer R.W. Digital Signal Processing 1975, Oppenheim and Schafer (1975)., Prentice Hall, Englewood Cliffs, NJ.
-
(1975)
Digital Signal Processing
-
-
Oppenheim, A.V.1
Schafer, R.W.2
-
300
-
-
84943180334
-
A Fast Interrupt Handling Scheme for VLIW Processors
-
özer et al. (1998a)., Oct.
-
özer E., Sathaye S.W., Menezes K.N., Banerjia S., Jennings M.D., Conte T.M. A Fast Interrupt Handling Scheme for VLIW Processors. Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques 1998, 136-141. özer et al. (1998a)., Oct.
-
(1998)
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques
, pp. 136-141
-
-
Özer, E.1
Sathaye, S.W.2
Menezes, K.N.3
Banerjia, S.4
Jennings, M.D.5
Conte, T.M.6
-
303
-
-
0003631973
-
-
Panda et al. (1999)., Kluwer Academic Publishers, Boston
-
Panda P.R., Dutt N.D., Nicolau A. Memory Issues in Embedded Systems-on-Chip: Optimizations and Exploration 1999, Panda et al. (1999)., Kluwer Academic Publishers, Boston.
-
(1999)
Memory Issues in Embedded Systems-on-Chip: Optimizations and Exploration
-
-
Panda, P.R.1
Dutt, N.D.2
Nicolau, A.3
-
305
-
-
0021817378
-
Reduced Instruction Set Computers
-
Patterson (1985)., Jan.
-
Patterson D.A. Reduced Instruction Set Computers. Communications of the ACM 1985, vol. 28(no. 1):8-21. Patterson (1985)., Jan.
-
(1985)
Communications of the ACM
, vol.28
, Issue.1
, pp. 8-21
-
-
Patterson, D.A.1
-
306
-
-
0032674031
-
LISA - Machine Descrption Language for Cycle-Accurate Models of Programming DSP Architectures
-
Pees et al. (1999)., June
-
Pees S., Hoffmann A., Zivojnovic V., Meyr H. LISA - Machine Descrption Language for Cycle-Accurate Models of Programming DSP Architectures. Proceedings of the 36th Conference on Design Automation 1999, 933-938. Pees et al. (1999)., June.
-
(1999)
Proceedings of the 36th Conference on Design Automation
, pp. 933-938
-
-
Pees, S.1
Hoffmann, A.2
Zivojnovic, V.3
Meyr, H.4
-
313
-
-
77957959954
-
-
Podlesny et al. (1997)., Aug. 12, Sun Microsystems, Inc., Aug. 12
-
Podlesny A., Kristovsky G., Malshin A. Multiport Register File Memory Cell Configuration for Read Operation 1997, Podlesny et al. (1997)., Aug. 12, Sun Microsystems, Inc., Aug. 12.
-
(1997)
Multiport Register File Memory Cell Configuration for Read Operation
-
-
Podlesny, A.1
Kristovsky, G.2
Malshin, A.3
-
314
-
-
84882155547
-
-
Poppeliers and Chambers (2003)., Rev. ed., John Wiley, New York
-
Poppeliers J.C., Chambers S.A. What Style is It?: A Guide to American Architecture 2003, Poppeliers and Chambers (2003)., Rev. ed., John Wiley, New York.
-
(2003)
What Style is It?: A Guide to American Architecture
-
-
Poppeliers, J.C.1
Chambers, S.A.2
-
316
-
-
0003633407
-
-
Przybylski (1990)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA
-
Przybylski S. Cache and Memory Hierarchy Design: A Performance-Directed Approach 1990, Przybylski (1990)., (an imprint of Elsevier), Morgan Kaufmann Publishers, San Francisco, CA.
-
(1990)
Cache and Memory Hierarchy Design: A Performance-Directed Approach
-
-
Przybylski, S.1
-
317
-
-
85013832644
-
SDRAMs Ready to Enter PC Mainstream
-
Przybylski (1996)., May
-
Przybylski S. SDRAMs Ready to Enter PC Mainstream. Microprocessor Report 1996, vol. 10(no. 6):17-23. Przybylski (1996)., May.
-
(1996)
Microprocessor Report
, vol.10
, Issue.6
, pp. 17-23
-
-
Przybylski, S.1
-
318
-
-
84893745478
-
Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation
-
Qin and Malik (2003)., March
-
Qin W., Malik S. Flexible and Formal Modeling of Microprocessors with Application to Retargetable Simulation. Proceedings of the 2003 Conference on Design, Automation and Test in Europe 2003, 556-561. Qin and Malik (2003)., March.
-
(2003)
Proceedings of the 2003 Conference on Design, Automation and Test in Europe
, pp. 556-561
-
-
Qin, W.1
Malik, S.2
-
319
-
-
26444518564
-
Data Remapping for Design Space Optimizations of Embedded Memory Systems
-
Rabbah and Palem (2003)., May
-
Rabbah R.M., Palem K.V. Data Remapping for Design Space Optimizations of Embedded Memory Systems. ACM Transactions on Embedded Computing Systems 2003, vol. 2(no. 2):186-218. Rabbah and Palem (2003)., May.
-
(2003)
ACM Transactions on Embedded Computing Systems
, vol.2
, Issue.2
, pp. 186-218
-
-
Rabbah, R.M.1
Palem, K.V.2
-
320
-
-
0003425258
-
-
Rabiner and Schafer (1978)., Prentice Hall, Englewood Cliffs, NJ
-
Rabiner L.R., Schafer R.W. Digital Processing of Speech Signals 1978, Rabiner and Schafer (1978)., Prentice Hall, Englewood Cliffs, NJ.
-
(1978)
Digital Processing of Speech Signals
-
-
Rabiner, L.R.1
Schafer, R.W.2
-
321
-
-
0003880668
-
-
Raghunathan et al. (1998)., Kluwer Academic Publishers, Boston
-
Raghunathan A., Jha N.K., Dey S. High-Level Power Analysis and Optimization 1998, Raghunathan et al. (1998)., Kluwer Academic Publishers, Boston.
-
(1998)
High-Level Power Analysis and Optimization
-
-
Raghunathan, A.1
Jha, N.K.2
Dey, S.3
-
322
-
-
0003685404
-
-
Rao and Yip (1990)., Academic Press, Boston
-
Rao K.R., Yip P. Discrete Cosine Transform: Algorithms, Advantages, Applications 1990, Rao and Yip (1990)., Academic Press, Boston.
-
(1990)
Discrete Cosine Transform: Algorithms, Advantages, Applications
-
-
Rao, K.R.1
Yip, P.2
-
323
-
-
0004314053
-
-
Rashinkar et al. (2001)., Kluwer Academic Publishers, Boston
-
Rashinkar P., Paterson P., Singh L. System-on-a-Chip Verification: Methodology and Techniques 2001, Rashinkar et al. (2001)., Kluwer Academic Publishers, Boston.
-
(2001)
System-on-a-Chip Verification: Methodology and Techniques
-
-
Rashinkar, P.1
Paterson, P.2
Singh, L.3
-
326
-
-
0002017307
-
Instruction-Level Parallel Processing: History, Overview, and Perspective
-
Rau and Fisher (1993)., May
-
Rau B.R., Fisher J.A. Instruction-Level Parallel Processing: History, Overview, and Perspective. The Journal of Supercomputing 1993, vol. 7(no. 1-2):9-50. Rau and Fisher (1993)., May.
-
(1993)
The Journal of Supercomputing
, vol.7
, Issue.1-2
, pp. 9-50
-
-
Rau, B.R.1
Fisher, J.A.2
-
327
-
-
0003015894
-
Some Scheduling Techniques and an Easily Schedulable Horizontal Architecture for High Performance Scientific Computing
-
Rau and Glaeser (1981)., Oct.
-
Rau B.R., Glaeser C.D. Some Scheduling Techniques and an Easily Schedulable Horizontal Architecture for High Performance Scientific Computing. Proceedings of the 14th Annual Workshop on Microprogramming 1981, 183-198. Rau and Glaeser (1981)., Oct.
-
(1981)
Proceedings of the 14th Annual Workshop on Microprogramming
, pp. 183-198
-
-
Rau, B.R.1
Glaeser, C.D.2
-
329
-
-
0024480706
-
The Cydra-5 Departmental Supercomputer: Design Philosophies, Decisions, and Trade-Offs
-
Rau et al. (1989)., Jan.
-
Rau B.R., Yen D.W.L., Yen W., Towie R.A. The Cydra-5 Departmental Supercomputer: Design Philosophies, Decisions, and Trade-Offs. IEEE Computer 1989, vol. 22(no. 1):12-26. Rau et al. (1989)., Jan.
-
(1989)
IEEE Computer
, vol.22
, Issue.1
, pp. 12-26
-
-
Rau, B.R.1
Yen, D.W.L.2
Yen, W.3
Towie, R.A.4
-
330
-
-
0024480706
-
The Cydra-5 Departmental Supercomputer: Design Philosophies, Decision, and Trade-Offs
-
Rau et al. (1989)., Jan.
-
Rau B.R., Yen D.W.L., Yen W., Towie R.A. The Cydra-5 Departmental Supercomputer: Design Philosophies, Decision, and Trade-Offs. IEEE Computer 1989, vol. 22(no. 1):28-30. Rau et al. (1989)., Jan.
-
(1989)
IEEE Computer
, vol.22
, Issue.1
, pp. 28-30
-
-
Rau, B.R.1
Yen, D.W.L.2
Yen, W.3
Towie, R.A.4
-
331
-
-
0024480706
-
The Cydra-5 Departmental Supercomputer: Design Philosophies, Decisions, and Trade-Offs
-
Rau et al. (1989)., Jan.
-
Rau B.R., Yen D.W.L., Yen W., Towie R.A. The Cydra-5 Departmental Supercomputer: Design Philosophies, Decisions, and Trade-Offs. IEEE Computer 1989, vol. 22(no. 1):32-35. Rau et al. (1989)., Jan.
-
(1989)
IEEE Computer
, vol.22
, Issue.1
, pp. 32-35
-
-
Rau, B.R.1
Yen, D.W.L.2
Yen, W.3
Towie, R.A.4
-
332
-
-
0026966702
-
Register Allocation for Software Pipelined Loops
-
Rau et al. (1992)., June
-
Rau B.R., Lee M., Tirumalai P.P., Schlansker M.S. Register Allocation for Software Pipelined Loops. Proceedings of the SIGPLAN 1992 Conference on Programming Language Design and Implementation 1992, 283-299. Rau et al. (1992)., June.
-
(1992)
Proceedings of the SIGPLAN 1992 Conference on Programming Language Design and Implementation
, pp. 283-299
-
-
Rau, B.R.1
Lee, M.2
Tirumalai, P.P.3
Schlansker, M.S.4
-
334
-
-
0041633624
-
Instruction Set Compiled Simulation: A Technique for Fast and Flexible Instruction Set Simulation
-
Reshadi et al. (2003)., June
-
Reshadi M., Mishra P., Dutt N. Instruction Set Compiled Simulation: A Technique for Fast and Flexible Instruction Set Simulation. Proceedings of the 40th Conference on Design Automation 2003, 758-763. Reshadi et al. (2003)., June.
-
(2003)
Proceedings of the 40th Conference on Design Automation
, pp. 758-763
-
-
Reshadi, M.1
Mishra, P.2
Dutt, N.3
-
335
-
-
0015490730
-
The Inhibition of Potential Parallelism by Conditional Jumps
-
Riseman and Foster (1972)., Dec.
-
Riseman E.M., Foster C.C. The Inhibition of Potential Parallelism by Conditional Jumps. IEEE Transactions on Computers 1972, vol. 21(no. 12):1405-1411. Riseman and Foster (1972)., Dec.
-
(1972)
IEEE Transactions on Computers
, vol.21
, Issue.12
, pp. 1405-1411
-
-
Riseman, E.M.1
Foster, C.C.2
-
336
-
-
85013802440
-
Verification and Validation Implementation at NASA
-
Rosenberg (2001)., May
-
Rosenberg L.H. Verification and Validation Implementation at NASA. CrossTalk: The Journal of Defense Software Engineering 2001, vol. 14(no. 5):12-15. Rosenberg (2001)., May.
-
(2001)
CrossTalk: The Journal of Defense Software Engineering
, vol.14
, Issue.5
, pp. 12-15
-
-
Rosenberg, L.H.1
-
339
-
-
0028387091
-
An Introduction to Disk Drive Modeling
-
Ruemmler and Wilkes (1994)., March
-
Ruemmler C., Wilkes J. An Introduction to Disk Drive Modeling. IEEE Computer 1994, vol. 27(no. 3):17-28. Ruemmler and Wilkes (1994)., March.
-
(1994)
IEEE Computer
, vol.27
, Issue.3
, pp. 17-28
-
-
Ruemmler, C.1
Wilkes, J.2
-
348
-
-
0033713032
-
High-Level Synthesis of Nonprogrammable Hardware Accelerators
-
Schreiber et al. (2000)., July
-
Schreiber R., Aditya S., Rau B.R., Kathail V., Mahlke S., Abraham S., Snider G. High-Level Synthesis of Nonprogrammable Hardware Accelerators. Proceedings of the 2000 IEEE International Conference on Application-Specific Systems, Architectures, and Processors 2000, 113-126. Schreiber et al. (2000)., July.
-
(2000)
Proceedings of the 2000 IEEE International Conference on Application-Specific Systems, Architectures, and Processors
, pp. 113-126
-
-
Schreiber, R.1
Aditya, S.2
Rau, B.R.3
Kathail, V.4
Mahlke, S.5
Abraham, S.6
Snider, G.7
-
349
-
-
0004295760
-
-
Schumpeter (1962)., Perennial, New York
-
Schumpeter Joseph A. Capitalism, Socialism, and Democracy 1962, Schumpeter (1962)., Perennial, New York.
-
(1962)
Capitalism, Socialism, and Democracy
-
-
Schumpeter, J.A.1
-
350
-
-
27644575542
-
Large Parallel Computers
-
Schwartz (1966)., Jan.
-
Schwartz J.T. Large Parallel Computers. Journal of the ACM 1966, vol. 13(no. 1):25-32. Schwartz (1966)., Jan.
-
(1966)
Journal of the ACM
, vol.13
, Issue.1
, pp. 25-32
-
-
Schwartz, J.T.1
-
351
-
-
0345272496
-
Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling
-
Semeraro et al. (2002)., Feb.
-
Semeraro G., Magklis G., Balasubramonian R., Albonesi D.H., Dwarkadas S., Scott M.L. Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. Proceedings of the 8th International Symposium on High-Performance Computer Architecture 2002, 29-42. Semeraro et al. (2002)., Feb.
-
(2002)
Proceedings of the 8th International Symposium on High-Performance Computer Architecture
, pp. 29-42
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Scott, M.L.6
-
352
-
-
0003777249
-
-
Kluwer Academic Publishers, Boston, M.I. Sezan, R.L. Lagendijk (Eds.)
-
Motion Analysis and Image Sequence Processing 1993, Kluwer Academic Publishers, Boston. M.I. Sezan, R.L. Lagendijk (Eds.).
-
(1993)
Motion Analysis and Image Sequence Processing
-
-
-
353
-
-
84940644968
-
A Mathematical Theory of Communication
-
Shannon (1948)., July/Oct.
-
Shannon C.E. A Mathematical Theory of Communication. Bell System Technical Journal 1948, vol. 27:379-423. Shannon (1948)., July/Oct.
-
(1948)
Bell System Technical Journal
, vol.27
, pp. 379-423
-
-
Shannon, C.E.1
-
354
-
-
84856043672
-
A Mathematical Theory of Communication
-
Shannon (1948)., July/Oct.
-
Shannon C.E. A Mathematical Theory of Communication. Bell System Technical Journal 1948, vol. 27:623-656. Shannon (1948)., July/Oct.
-
(1948)
Bell System Technical Journal
, vol.27
, pp. 623-656
-
-
Shannon, C.E.1
-
356
-
-
0026380466
-
Modulation and Coding for Information Storage
-
Siegel and Wolf (1991)., Dec.
-
Siegel P.H., Wolf J.K. Modulation and Coding for Information Storage. IEEE Communications Magazine 1991, vol. 29(no. 12):68-86. Siegel and Wolf (1991)., Dec.
-
(1991)
IEEE Communications Magazine
, vol.29
, Issue.12
, pp. 68-86
-
-
Siegel, P.H.1
Wolf, J.K.2
-
357
-
-
0004233425
-
-
Silberschatz et al. (2002)., John Wiley & Sons, New York
-
Silberschatz A., Galvin P.B., Gagne G. Operating System Concepts 2002, Silberschatz et al. (2002)., John Wiley & Sons, New York. 4th ed.
-
(2002)
Operating System Concepts
-
-
Silberschatz, A.1
Galvin, P.B.2
Gagne, G.3
-
359
-
-
84872256764
-
A Processor Description Language Supporting Retargetable Multi-pipeline DSP Program Development Tools
-
Siska (1998)., Dec
-
Siska C. A Processor Description Language Supporting Retargetable Multi-pipeline DSP Program Development Tools. Proceedings of the 11th International Symposium on System Synthesis 1998, 31-36. Siska (1998)., Dec.
-
(1998)
Proceedings of the 11th International Symposium on System Synthesis
, pp. 31-36
-
-
Siska, C.1
-
361
-
-
0020177251
-
Cache Memories
-
Smith (1982)., Sept.
-
Smith A.J. Cache Memories. ACM Computing Surveys 1982, vol. 14(no. 3):473-530. Smith (1982)., Sept.
-
(1982)
ACM Computing Surveys
, vol.14
, Issue.3
, pp. 473-530
-
-
Smith, A.J.1
-
362
-
-
0009384049
-
The Architecture of HEP
-
Smith (1985)., MIT Press, Cambridge, MA, J.S. Kowalik (Ed.)
-
Smith B. The Architecture of HEP. Parallel MIMD Computation: The HEP Supercomputer and its Applications 1985, 41-55. Smith (1985)., MIT Press, Cambridge, MA. J.S. Kowalik (Ed.).
-
(1985)
Parallel MIMD Computation: The HEP Supercomputer and its Applications
, pp. 41-55
-
-
Smith, B.1
-
364
-
-
0024013595
-
Implementing Precise Interrupts in Pipelined Processors
-
Smith and Pleszkun (1988)., May
-
Smith J.E., Pleszkun A.R. Implementing Precise Interrupts in Pipelined Processors. IEEE Transactions on Computers 1988, vol. 37(no. 5):562-573. Smith and Pleszkun (1988)., May.
-
(1988)
IEEE Transactions on Computers
, vol.37
, Issue.5
, pp. 562-573
-
-
Smith, J.E.1
Pleszkun, A.R.2
-
368
-
-
17444427129
-
Low Power Code Generation for a RISC Processor by Register Pipelining
-
Steinke et al. (2001).
-
Steinke S., Schwarz R., Wehmeyer L., Marwedel P. Low Power Code Generation for a RISC Processor by Register Pipelining. Technical Report 754, University of Dortmund, Department of Computer Science XII 2001, Steinke et al. (2001).
-
(2001)
Technical Report 754, University of Dortmund, Department of Computer Science XII
-
-
Steinke, S.1
Schwarz, R.2
Wehmeyer, L.3
Marwedel, P.4
-
369
-
-
78651530863
-
One-Pass Compilation of Arithmetic Expressions for a Parallel Processor
-
Stone (1967)., April
-
Stone H.S. One-Pass Compilation of Arithmetic Expressions for a Parallel Processor. Communications of the ACM 1967, vol. 10(no. 4):220-223. Stone (1967)., April.
-
(1967)
Communications of the ACM
, vol.10
, Issue.4
, pp. 220-223
-
-
Stone, H.S.1
-
370
-
-
0020190931
-
Data Compression via Textual Substitution
-
Storer and Szymanski (1982)., Oct.
-
Storer J., Szymanski T. Data Compression via Textual Substitution. Journal of the ACM 1982, vol. 29(no. 4):928-951. Storer and Szymanski (1982)., Oct.
-
(1982)
Journal of the ACM
, vol.29
, Issue.4
, pp. 928-951
-
-
Storer, J.1
Szymanski, T.2
-
371
-
-
0004027344
-
-
Stroustrup (1997)., Addison-Wesley, Reading, MA
-
Stroustrup B. The C++ Programming Language 1997, Stroustrup (1997)., Addison-Wesley, Reading, MA. 3d ed.
-
(1997)
The C++ Programming Language
-
-
Stroustrup, B.1
-
372
-
-
0003147684
-
Low Power Architecture Design and Compilation Techniques for High-Performance Processors
-
Su et al. (1994)., March
-
Su C.-L., Tsui C.-Y., Despain A.M. Low Power Architecture Design and Compilation Techniques for High-Performance Processors. Proceedings of IEEE COMPCON 1994, 489-498. Su et al. (1994)., March.
-
(1994)
Proceedings of IEEE COMPCON
, pp. 489-498
-
-
Su, C.-L.1
Tsui, C.-Y.2
Despain, A.M.3
-
373
-
-
0030679984
-
Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures
-
Sudarsanam et al. (1997)., June
-
Sudarsanam A., Liao S., Devadas S. Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures. Proceedings of the 34th Conference on Design Automation 1997, 287-292. Sudarsanam et al. (1997)., June.
-
(1997)
Proceedings of the 34th Conference on Design Automation
, pp. 287-292
-
-
Sudarsanam, A.1
Liao, S.2
Devadas, S.3
-
374
-
-
0004193866
-
-
Tanenbaum (2001)., Prentice Hall, Upper Saddle River, NJ
-
Tanenbaum A.S. Modern Operating Systems 2001, Tanenbaum (2001)., Prentice Hall, Upper Saddle River, NJ. 2d ed.
-
(2001)
Modern Operating Systems
-
-
Tanenbaum, A.S.1
-
375
-
-
0004120131
-
-
Tanenbaum and Woodhull (1997)., Prentice Hall, Upper Saddle River, NJ
-
Tanenbaum A.S., Woodhull A. Operating Systems: Design and Implementation 1997, Tanenbaum and Woodhull (1997)., Prentice Hall, Upper Saddle River, NJ. 2d ed.
-
(1997)
Operating Systems: Design and Implementation
-
-
Tanenbaum, A.S.1
Woodhull, A.2
-
376
-
-
0003416274
-
-
Tekalp (1995)., Prentice Hall, Upper Saddle River, NJ
-
Tekalp A.M. Digital Video Processing 1995, Tekalp (1995)., Prentice Hall, Upper Saddle River, NJ.
-
(1995)
Digital Video Processing
-
-
Tekalp, A.M.1
-
377
-
-
34547782778
-
PRMDL: A Machine Description Language for Clustered VLIW Architectures
-
Terechko et al. (2001)., March
-
Terechko A., Pol E., van Eijndhoven J. PRMDL: A Machine Description Language for Clustered VLIW Architectures. Proceedings of the Conference on Design, Automation, and Test in Europe 2001, 821. Terechko et al. (2001)., March.
-
(2001)
Proceedings of the Conference on Design, Automation, and Test in Europe
, pp. 821
-
-
Terechko, A.1
Pol, E.2
van Eijndhoven, J.3
-
378
-
-
18844430522
-
Cluster Assignment of Global Values for Clustered VLIW Processors
-
Terechko et al. (2003)., Oct.
-
Terechko A., Le Thénaff E., Corporaal H. Cluster Assignment of Global Values for Clustered VLIW Processors. Proceedings of the 2003 International Conference on Compilers, Architectures and Synthesis for Embedded Systems 2003, 32-40. Terechko et al. (2003)., Oct.
-
(2003)
Proceedings of the 2003 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
, pp. 32-40
-
-
Terechko, A.1
Le Thénaff, E.2
Corporaal, H.3
-
379
-
-
0003682493
-
-
Thoen and Catthoor (2000)., Kluwer Academic Publishers, Boston
-
Thoen F., Catthoor F. Modeling, Verification, and Exploration of Task-Level Concurrency in Real-Time Embedded Systems 2000, Thoen and Catthoor (2000)., Kluwer Academic Publishers, Boston.
-
(2000)
Modeling, Verification, and Exploration of Task-Level Concurrency in Real-Time Embedded Systems
-
-
Thoen, F.1
Catthoor, F.2
-
380
-
-
0004097127
-
-
Thomas and Moorby (1998)., Kluwer Academic Publishers, Boston, MA
-
Thomas D.E., Moorby P.R. The Verilog Hardware Description Language 1998, Thomas and Moorby (1998)., Kluwer Academic Publishers, Boston, MA. 4th ed.
-
(1998)
The Verilog Hardware Description Language
-
-
Thomas, D.E.1
Moorby, P.R.2
-
381
-
-
85013816482
-
Code Generation for PIE (Parallel Instruction Execution) Computers
-
Thorlin (1967)., April
-
Thorlin J.F. Code Generation for PIE (Parallel Instruction Execution) Computers. Proceedings of the 1967 AFIPS Conference 1967, 641-643. Thorlin (1967)., April.
-
(1967)
Proceedings of the 1967 AFIPS Conference
, pp. 641-643
-
-
Thorlin, J.F.1
-
385
-
-
0028722375
-
Power Analysis of Embedded Software: A First Step Towards Software Power Minimization
-
Tiwari et al. (1994b)., Dec.
-
Tiwari V., Malik S., Wolfe A. Power Analysis of Embedded Software: A First Step Towards Software Power Minimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 1994, vol. 2(no. 4):437-445. Tiwari et al. (1994b)., Dec.
-
(1994)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.2
, Issue.4
, pp. 437-445
-
-
Tiwari, V.1
Malik, S.2
Wolfe, A.3
-
386
-
-
0004001433
-
Instruction Scheduling for Low-Power Dissipation in High Performance Microprocessors
-
Toburen et al. (1998).
-
Toburen M.C., Conte T.M., Reilly M. Instruction Scheduling for Low-Power Dissipation in High Performance Microprocessors. Proceedings of the 1998 Power-Driven Microarchitecture Workshop, pp. 14-19, held in conjunction with the 25th Annual International Symposium on Computer Architecture June 1998, Toburen et al. (1998).
-
(1998)
Proceedings of the 1998 Power-Driven Microarchitecture Workshop, pp. 14-19, held in conjunction with the 25th Annual International Symposium on Computer Architecture
-
-
Toburen, M.C.1
Conte, T.M.2
Reilly, M.3
-
388
-
-
0017791865
-
An Approach to Microprogram Optimization Considering Resource Occupancy and Instruction Formats
-
Tokoro et al. (1977)., Oct.
-
Tokoro M., Tamura E., Takase K., Tamaru K. An Approach to Microprogram Optimization Considering Resource Occupancy and Instruction Formats. Proceedings of the 10th Annual Workshop on Microprogramming 1977, 92-108. Tokoro et al. (1977)., Oct.
-
(1977)
Proceedings of the 10th Annual Workshop on Microprogramming
, pp. 92-108
-
-
Tokoro, M.1
Tamura, E.2
Takase, K.3
Tamaru, K.4
-
389
-
-
0003081830
-
An Efficient Algorithm for Exploiting Multiple Arithmetic Units
-
Tomasulo (1967)., Jan.
-
Tomasulo R.M. An Efficient Algorithm for Exploiting Multiple Arithmetic Units. IBM Journal of Research and Development 1967, vol. 11:25-33. Tomasulo (1967)., Jan.
-
(1967)
IBM Journal of Research and Development
, vol.11
, pp. 25-33
-
-
Tomasulo, R.M.1
-
394
-
-
0031153459
-
Trace-Driven Memory Simulation: A Survey
-
Uhlig and Mudge (1997)., June
-
Uhlig R.A., Mudge T.N. Trace-Driven Memory Simulation: A Survey. ACM Computing Surveys 1997, vol. 29(no. 2):128-170. Uhlig and Mudge (1997)., June.
-
(1997)
ACM Computing Surveys
, vol.29
, Issue.2
, pp. 128-170
-
-
Uhlig, R.A.1
Mudge, T.N.2
-
395
-
-
0004168894
-
-
Ulichney (1987)., MIT Press, Cambridge, MA
-
Ulichney R. Digital Halftoning 1987, Ulichney (1987)., MIT Press, Cambridge, MA.
-
(1987)
Digital Halftoning
-
-
Ulichney, R.1
-
396
-
-
85013742458
-
-
Vondran and Desoli (2000)., U.S. Patent Number 6,040,925. March
-
Vondran G.L., Desoli G. Radial and Pruned Radial Interpolation 2000, Vondran and Desoli (2000)., U.S. Patent Number 6,040,925. March.
-
(2000)
Radial and Pruned Radial Interpolation
-
-
Vondran, G.L.1
Desoli, G.2
-
397
-
-
0026142897
-
The JPEG Still Picture Compression Standard
-
Wallace (1991)., April
-
Wallace G.K. The JPEG Still Picture Compression Standard. Communications of the ACM 1991, vol. 34(no. 4):30-44. Wallace (1991)., April.
-
(1991)
Communications of the ACM
, vol.34
, Issue.4
, pp. 30-44
-
-
Wallace, G.K.1
-
398
-
-
85013818595
-
Multicore Debug Sought in SoC Design
-
Walls and Williams (2000)., March
-
Walls C., Williams S. Multicore Debug Sought in SoC Design. EE Times 2000, Walls and Williams (2000)., March.
-
(2000)
EE Times
-
-
Walls, C.1
Williams, S.2
-
399
-
-
85013863469
-
GSM Enhanced Full Rate Speech Coder: Multichannel TMS320C62x Implementation
-
Wang and Fu (2000)., Feb.
-
Wang M., Fu X. GSM Enhanced Full Rate Speech Coder: Multichannel TMS320C62x Implementation. Texas Instruments Application Report, SPRA565B 2000, Wang and Fu (2000)., Feb.
-
(2000)
Texas Instruments Application Report, SPRA565B
-
-
Wang, M.1
Fu, X.2
-
401
-
-
0012839687
-
-
Wang et al. (2002)., Prentice Hall, Upper Saddle River, NJ
-
Wang Y., Ostermann J., Zhang Y.-Q. Video Processing and Communications 2002, Wang et al. (2002)., Prentice Hall, Upper Saddle River, NJ.
-
(2002)
Video Processing and Communications
-
-
Wang, Y.1
Ostermann, J.2
Zhang, Y.-Q.3
-
402
-
-
0027806309
-
Reverse If-Conversion
-
Warter et al. (1993)., June
-
Warter N.J., Mahlke S.A., Hwu W.-M.W., Rau B.R. Reverse If-Conversion. Proceedings of the SIGPLAN 1993 Conference on Programming Language Design and Implementation 1993, 290-299. Warter et al. (1993)., June.
-
(1993)
Proceedings of the SIGPLAN 1993 Conference on Programming Language Design and Implementation
, pp. 290-299
-
-
Warter, N.J.1
Mahlke, S.A.2
Hwu, W.-M.W.3
Rau, B.R.4
-
403
-
-
0003542428
-
-
Waser and Flynn (1982)., Holt, Reinhart, and Winston, New York
-
Waser S., Flynn M.J. Introduction to Arithmetic for Digital Systems Designers 1982, Waser and Flynn (1982)., Holt, Reinhart, and Winston, New York.
-
(1982)
Introduction to Arithmetic for Digital Systems Designers
-
-
Waser, S.1
Flynn, M.J.2
-
404
-
-
0004331695
-
-
Watkinson (2000)., Focal Press, Burlington, MA
-
Watkinson J. The Art of Digital Video 2000, Watkinson (2000)., Focal Press, Burlington, MA. 3d ed.
-
(2000)
The Art of Digital Video
-
-
Watkinson, J.1
-
407
-
-
0033890555
-
Bus Architecture of a System on a Chip with User Configurable System Logic
-
Winegarden (2000)., March
-
Winegarden S. Bus Architecture of a System on a Chip with User Configurable System Logic. IEEE Journal of Solid-State Circuits 2000, vol. 35(no. 3):425-433. Winegarden (2000)., March.
-
(2000)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.3
, pp. 425-433
-
-
Winegarden, S.1
-
414
-
-
0037201435
-
JIT Speeds Simulation
-
Wong (2002)., Sept.
-
Wong W. JIT Speeds Simulation. Electronic Design 2002, Wong (2002)., Sept.
-
(2002)
Electronic Design
-
-
Wong, W.1
-
416
-
-
0003424285
-
-
Wyszecki and Stiles (2000)., John Wiley & Sons, New York
-
Wyszecki G., Stiles W.S. Color Science: Concepts and Methods, Quantitative Data, and Formulae 2000, Wyszecki and Stiles (2000)., John Wiley & Sons, New York. 2d ed.
-
(2000)
Color Science: Concepts and Methods, Quantitative Data, and Formulae
-
-
Wyszecki, G.1
Stiles, W.S.2
-
418
-
-
0033100163
-
Two-Degree-of-Freedom Control with Robust Feedback Control for Hard Disk Servo Systems
-
Yi and Tomizuka (1999)., March
-
Yi L., Tomizuka M. Two-Degree-of-Freedom Control with Robust Feedback Control for Hard Disk Servo Systems. IEEE/ASME Transactions on Mechatronics 1999, vol. 4(no. 1):17-24. Yi and Tomizuka (1999)., March.
-
(1999)
IEEE/ASME Transactions on Mechatronics
, vol.4
, Issue.1
, pp. 17-24
-
-
Yi, L.1
Tomizuka, M.2
-
421
-
-
0003339553
-
Static Correlated Branch Prediction
-
Young and Smith (1999)., Sept.
-
Young C., Smith M.D. Static Correlated Branch Prediction. Transactions on Programming Languages and Systems 1999, vol. 21(no. 5):1028-1075. Young and Smith (1999)., Sept.
-
(1999)
Transactions on Programming Languages and Systems
, vol.21
, Issue.5
, pp. 1028-1075
-
-
Young, C.1
Smith, M.D.2
-
423
-
-
0035691538
-
Modulo Scheduling with Integrated Register Spilling for Clustered VLIW Architectures
-
Zalamea et al. (2001)., Dec.
-
Zalamea J., Llosa J., Ayguadé E., Valero M. Modulo Scheduling with Integrated Register Spilling for Clustered VLIW Architectures. Proceedings of the 34th Annual International Symposium on Microarchitecture 2001, 160-169. Zalamea et al. (2001)., Dec.
-
(2001)
Proceedings of the 34th Annual International Symposium on Microarchitecture
, pp. 160-169
-
-
Zalamea, J.1
Llosa, J.2
Ayguadé, E.3
Valero, M.4
-
424
-
-
0036957931
-
Ecosystem: Managing Energy as a First Class Operating System Resource
-
Zeng et al. (2002)., Oct.
-
Zeng H., Fan X., Ellis C., Lebeck A., Vahdat A. Ecosystem: Managing Energy as a First Class Operating System Resource. Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems 2002, 123-132. Zeng et al. (2002)., Oct.
-
(2002)
Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 123-132
-
-
Zeng, H.1
Fan, X.2
Ellis, C.3
Lebeck, A.4
Vahdat, A.5
-
425
-
-
0002453219
-
System Support for Automatic Profiling and Optimization, ACM SIGOPS Operating Systems Review
-
Zhang et al. (1997)., Issue 5, October
-
Zhang X., Wang Z., Gloy N., Chen J., Smith M. System Support for Automatic Profiling and Optimization, ACM SIGOPS Operating Systems Review. Proceedings of the Sixteenth ACM Symposium on Operating Systems Principles 1997, Zhang et al. (1997)., Issue 5, October.
-
(1997)
Proceedings of the Sixteenth ACM Symposium on Operating Systems Principles
-
-
Zhang, X.1
Wang, Z.2
Gloy, N.3
Chen, J.4
Smith, M.5
-
428
-
-
0017493286
-
A Universal Algorithm for Sequential Data Compression
-
Ziv and Lempel (1977)., May
-
Ziv J., Lempel A. A Universal Algorithm for Sequential Data Compression. IEEE Transactions on Information Theory 1977, vol. 23(no. 3):337-343. Ziv and Lempel (1977)., May.
-
(1977)
IEEE Transactions on Information Theory
, vol.23
, Issue.3
, pp. 337-343
-
-
Ziv, J.1
Lempel, A.2
-
429
-
-
0018019231
-
Compression of Individual Sequences via Variable-Rate Coding
-
Ziv and Lempel (1978)., Sept.
-
Ziv J., Lempel A. Compression of Individual Sequences via Variable-Rate Coding. IEEE Transactions on Information Theory 1978, vol. 24(no. 5):530-536. Ziv and Lempel (1978)., Sept.
-
(1978)
IEEE Transactions on Information Theory
, vol.24
, Issue.5
, pp. 530-536
-
-
Ziv, J.1
Lempel, A.2
|