-
1
-
-
70049105948
-
GARNET: A detailed on-chip network model inside a full-system simulator
-
AGARWAL, N., KRISHNA, T., PEH, L.-S., AND JHA, N. K. 2009. GARNET: A detailed on-chip network model inside a full-system simulator. In Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 33-42.
-
(2009)
Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 33-42
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jha, N.K.4
-
3
-
-
38849203001
-
Predator: A predictable SDRAM memory controller
-
DOI 10.1145/1289816.1289877, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
AKESSON, B., GOOSSENS, K., AND RINGHOFER, M. 2007. Predator: A predictable SDRAM memory controller. In Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis. IEEE, 251-256. (Pubitemid 351203975)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 251-256
-
-
Akesson, B.1
Goossens, K.2
Ringhofer, M.3
-
4
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
BIENIA, C., KUMAR, S., SINGH, J. P., AND LI, K. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques. 72-81.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
6
-
-
27344444925
-
A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip
-
DOI 10.1109/DATE.2005.36, 1395761, Proceedings - Design, Automation and Test in Europe, DATE '05
-
BJERREGAARD, T. AND SPARSO, J. 2005. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe, Vol. 2. 1226-1231. (Pubitemid 44172177)
-
(2005)
Proceedings -Design, Automation and Test in Europe, DATE '05
, vol.II
, pp. 1226-1231
-
-
Bjerregaard, T.1
Sparso, J.2
-
7
-
-
1242309790
-
QNoC: QoS architecture and design process for network on chip
-
BOLOTIN, E., CIDON, I., GINOSAR, R., AND KOLODNY, A. 2004. QNoC: QoS architecture and design process for network on chip. J. Syst. Archit. 50, 2-3, 105-128.
-
(2004)
J. Syst. Archit.
, vol.50
, Issue.2-3
, pp. 105-128
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
8
-
-
4143087192
-
Predictable performance in SMT processors
-
CAZORLA, F. J., KNIJNENBURG, P. M., SAKELLARIOU, R., FERŃANDEZ, E., RAMIREZ, A., AND VALERO, M. 2004. Predictable performance in SMT processors. In Proceedings of the 1st Conference on Computing Frontiers. 433-443.
-
(2004)
Proceedings of the 1st Conference on Computing Frontiers
, pp. 433-443
-
-
Cazorla, F.J.1
Knijnenburg, P.M.2
Sakellariou, R.3
Ferńandez, E.4
Ramirez, A.5
Valero, M.6
-
9
-
-
76749124429
-
Application-aware prioritization mechanisms for on-chip networks
-
ACM, New York, NY
-
DAS, R., MUTLU, O., MOSCIBRODA, T., AND DAS, C. R. 2009. Application-aware prioritization mechanisms for on-chip networks. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, New York, NY, 280-291.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 280-291
-
-
Das, R.1
Mutlu, O.2
Moscibroda, T.3
Das, C.R.4
-
10
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
DONALD, J. AND MARTONOSI, M. 2006. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Comput. Archit. News 34, 2, 78-88. (Pubitemid 46016606)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
11
-
-
77952285828
-
Fairness via source throttling: A configurable and high-performance fairness substrate for multi-core memory systems
-
ACM, New York, NY
-
EBRAHIMI, E., LEE, C. J., MUTLU, O., AND PATT, Y. N. 2010. Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems. In Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'10). ACM, New York, NY, 335-346.
-
(2010)
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'10)
, pp. 335-346
-
-
Ebrahimi, E.1
Lee, C.J.2
Mutlu, O.3
Patt, Y.N.4
-
12
-
-
27344456043
-
Æthereal network on chip: Concepts, architectures, and implementations
-
DOI 10.1109/MDT.2005.99
-
GOOSSENS, K., DIELISSEN, J., AND RADULESCU, A. 2005. Æthereal network on chip: Concepts, architectures, and implementations. IEEE Des. Test Comput. 22, 5, 414-421. (Pubitemid 41522729)
-
(2005)
IEEE Design and Test of Computers
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
13
-
-
80052522708
-
Kilo-NOC: A heterogeneous network-on-chip architecture for scalability and service guarantees
-
ACM, New York, NY
-
GROT, B., HESTNESS, J., KECKLER, S. W., AND MUTLU, O. 2011. Kilo-NOC: a heterogeneous network-on-chip architecture for scalability and service guarantees. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA'11). ACM, New York, NY, 401-412.
-
(2011)
Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA'11)
, pp. 401-412
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
14
-
-
76749160934
-
Preemptive virtual clock: A flexible, efficient, and cost-effective qos scheme for networks-on-chip
-
ACM, New York, NY
-
GROT, B., KECKLER, S. W., AND MUTLU, O. 2009. Preemptive virtual clock: a flexible, efficient, and cost-effective qos scheme for networks-on-chip. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, New York, NY, 268-279.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 268-279
-
-
Grot, B.1
Keckler, S.W.2
Mutlu, O.3
-
15
-
-
47349085427
-
A framework for providing quality of service in chip multiprocessors
-
GUO, F., SOLIHIN, Y., ZHAO, L., AND IYER, R. 2007. A framework for providing quality of service in chip multiprocessors. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. 343-355.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 343-355
-
-
Guo, F.1
Solihin, Y.2
Zhao, L.3
Iyer, R.4
-
16
-
-
60349104720
-
CoMPSoC: A template for composable and predictable multi-processor system on chips
-
HANSSON, A., GOOSSENS, K., BEKOOIJ, M., AND HUISKEN, J. 2009. CoMPSoC: A template for composable and predictable multi-processor system on chips. ACM Trans. Des. Autom. Electron. Syst. 14, 1, 1-24.
-
(2009)
ACM Trans. Des. Autom. Electron. Syst.
, vol.14
, Issue.1
, pp. 1-24
-
-
Hansson, A.1
Goossens, K.2
Bekooij, M.3
Huisken, J.4
-
18
-
-
52649148744
-
Self-optimizing memory controllers: A reinforcement learning approach
-
IEEE
-
IPEK, E., MUTLU, O., MARTÍNEZ, J. F., AND CARUANA, R. 2008. Self-optimizing memory controllers: A reinforcement learning approach. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA'08). IEEE, 39-50.
-
(2008)
Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA'08)
, pp. 39-50
-
-
Ipek, E.1
Mutlu, O.2
Martínez, J.F.3
Caruana, R.4
-
19
-
-
36349002905
-
QoS policies and architecture for cache/memory in CMP platforms
-
DOI 10.1145/1269899.1254886, SIGMETRICS'07 - Proceedings of the 2007 International Conference on Measurement and Modeling of Computer Systems
-
IYER, R., ZHAO, L., GUO, F., ILLIKKAL, R., MAKINENI, S., NEWELL, D., SOLIHIN, Y., HSU, L., AND REINHARDT, S. 2007. QoS policies and architecture for cache/memory in CMP platforms. In Proceedings of the ACM SIGMETRICS Conference. 25-36. (Pubitemid 350158070)
-
(2007)
Performance Evaluation Review
, vol.35
, Issue.1
, pp. 25-36
-
-
Iyer, R.1
Zhao, L.2
Guo, F.3
Illikkal, R.4
Makineni, S.5
Newell, D.6
Solihin, Y.7
Hsu, L.8
Reinhardt, S.9
-
20
-
-
70350060187
-
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration
-
KAHNG, A., LI, B., PEH, L.-S., AND SAMADI, K. 2009. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Conference on Design Automation and Test in Europe (DATE). 423-428.
-
(2009)
Proceedings of the Conference on Design Automation and Test in Europe (DATE)
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
22
-
-
77952558442
-
Atlas: A scalable and high-performance scheduling algorithm for multiple memory controllers
-
KIM, Y., HAN, D., MUTLU, O., ANDHARCHOL-BALTER, M. 2010a. Atlas: A scalable and high-performance scheduling algorithm for multiple memory controllers. In Proceedings of the 16th International Symposium on High- Performance Computer Architecture. 1-12.
-
(2010)
Proceedings of the 16th International Symposium on High- Performance Computer Architecture
, pp. 1-12
-
-
Kim, Y.1
Han, D.2
Mutlu, O.3
Andharchol-balter, M.4
-
23
-
-
79951718838
-
Thread cluster memory scheduling: Exploiting differences in memory access behavior
-
IEEE
-
KIM, Y., PAPAMICHAEL, M., MUTLU, O., AND HARCHOL-BALTER, M. 2010b. Thread cluster memory scheduling: Exploiting differences in memory access behavior. In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'43.) IEEE, 65-76.
-
(2010)
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'43.)
, pp. 65-76
-
-
Kim, Y.1
Papamichael, M.2
Mutlu, O.3
Harchol-balter, M.4
-
26
-
-
79953203158
-
CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs
-
LI, B., ZHAO, L., IYER, R., PEH, L.-S., LEDDIGE, M., ESPIG, M., LEE, S. E., AND NEWELL, D. 2011. CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs. J. Paral. Distrib. Comput. 71, 5, 700-713.
-
(2011)
J. Paral. Distrib. Comput.
, vol.71
, Issue.5
, pp. 700-713
-
-
Li, B.1
Zhao, L.2
Iyer, R.3
Peh, L.-S.4
Leddige, M.5
Espig, M.6
Lee, S.E.7
Newell, D.8
-
27
-
-
3042740415
-
Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip
-
MILLBERG, M., NILSSON, E., THID, R., AND JANTSCH, A. 2004. Guaranteed bandwidth using looped containers in temporally disjoint networks within the Nostrum network on chip. In Proceedings of the Conference on Design, Automation and Test in Europe, Vol. 2. 890-895.
-
(2004)
Proceedings of the Conference on Design, Automation and Test in Europe
, vol.2
, pp. 890-895
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Jantsch, A.4
-
28
-
-
16244417788
-
ASPEN: Towards effective simulation of threads & engines in evolving platforms
-
Proceedings - IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, MASCOTS 2004
-
MOSES, J., ILLIKKAL, R., IYER, R., HUGGAHALLI, R., AND NEWELL, D. 2004. ASPEN: Towards effective simulation of threads and engines in evolving platforms. In Proceedings of the 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems. 51-58. (Pubitemid 40455418)
-
(2004)
Proceedings - IEEE Computer Society's Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, MASCOTS
, pp. 51-58
-
-
Moses, J.1
Illikkal, R.2
Iyer, R.3
Huggahalli, R.4
Newell, D.5
-
31
-
-
47249111244
-
Multicore resource management
-
NESBIT, K., MORETO, M., CAZORLA, F., RAMIREZ, A., VALERO, M., AND SMITH, J. 2008. Multicore resource management. IEEE Micro 28, 3, 6-16.
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 6-16
-
-
Nesbit, K.1
Moreto, M.2
Cazorla, F.3
Ramirez, A.4
Valero, M.5
Smith, J.6
-
32
-
-
34548050337
-
Fair queuing memory systems
-
NESBIT, K. J., AGGARWAL, N., LAUDON, J., AND SMITH, J. E. 2006. Fair queuing memory systems. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. 208-222.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 208-222
-
-
Nesbit, K.J.1
Aggarwal, N.2
Laudon, J.3
Smith, J.E.4
-
33
-
-
35348816719
-
Virtual private caches
-
DOI 10.1145/1250662.1250671, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
NESBIT, K. J., LAUDON, J., AND SMITH, J. E. 2007. Virtual private caches. In Proceedings of the 34th Annual International Symposium on Computer Architecture. 57-68. (Pubitemid 47582091)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 57-68
-
-
Nesbit, K.J.1
Laudon, J.2
Smith, J.E.3
-
34
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
DOI 10.1109/MICRO.2006.49, 4041865, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
QURESHI, M. K. AND PATT, Y. N. 2006. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 423-432. (Pubitemid 351337015)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
35
-
-
34247108325
-
Architectural support for operating system-driven CMP cache management
-
DOI 10.1145/1152154.1152160, PACT 2006 - Proceedings of the Fifteenth International Conference on Parallel Architectures and Compilation Techniques
-
RAFIQUE, N., LIM, W.-T., AND THOTTETHODI, M. 2006. Architectural support for operating system-driven CMP cache management. In Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques. 2-12. (Pubitemid 46601076)
-
(2006)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, vol.2006
, pp. 2-12
-
-
Rafique, N.1
Lim, W.-T.2
Thottethodi, M.3
-
36
-
-
84870989888
-
-
SAP AMERICA INC
-
SAP AMERICA INC. 2008. SAP standard benchmarks. http://www.sap.com/ solutions/benchmark/index.epx.
-
(2008)
SAP Standard Benchmarks
-
-
-
37
-
-
79960191063
-
METE: Meeting end-to-end qos in multicores through system-wide resource management
-
SHARIFI, A., SRIKANTAIAH, S., MISHRA, A. K., KANDEMIR, M., AND DAS, C. R. 2011. METE: meeting end-to-end qos in multicores through system-wide resource management. SIGMETRICS Perform. Eval. Rev. 39, 13-24.
-
(2011)
SIGMETRICS Perform. Eval. Rev.
, vol.39
, pp. 13-24
-
-
Sharifi, A.1
Srikantaiah, S.2
Mishra, A.K.3
Kandemir, M.4
Das, C.R.5
-
41
-
-
84862952741
-
-
STANDARD PERFORMANCE EVALUATION CORPORATION 2005. SPECjbb2005. http://www.spec.org/jbb2005.
-
(2005)
SPECjbb2005
-
-
-
42
-
-
84871008038
-
-
STANDARD PERFORMANCE EVALUATION CORPORATION 2006. SPECCPU2006. http://www.spec.org/cup2006.
-
(2006)
SPECCPU2006
-
-
-
44
-
-
70450230798
-
Dynamic MIPS rate stabilization in out-of-order processors
-
SUH, J. AND DUBOIS, M. 2009. Dynamic MIPS rate stabilization in out-of-order processors. SIGARCH Comput. Archit. News 37, 3, 46-56.
-
(2009)
SIGARCH Comput. Archit. News
, vol.37
, Issue.3
, pp. 46-56
-
-
Suh, J.1
Dubois, M.2
-
46
-
-
18844429980
-
A control-theoretic approach to dynamic voltage scheduling
-
CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
VARMA, A., GANESH, B., SEN, M., CHOUDHURY, S. R., SRINIVASAN, L., AND BRUCE, J. 2003. A controltheoretic approach to dynamic voltage scheduling. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems. 255-266. (Pubitemid 40682169)
-
(2003)
CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 255-266
-
-
Varma, A.1
Ganesh, B.2
Sen, M.3
Choudhury, S.R.4
Srinivasan, L.5
Jacob, B.6
-
47
-
-
27344447802
-
A quality-of-service mechanism for interconnection networks in system-on-chips
-
DOI 10.1109/DATE.2005.33, 1395762, Proceedings - Design, Automation and Test in Europe, DATE '05
-
WEBER, W.-D., CHOU, J., SWARBRICK, I., AND WINGARD, D. 2005. A quality-of-service mechanism for interconnection networks in system-on-chips. In Proceedings of the Conference on Design, Automation and Test in Europe, Vol. 2. 1232-1237. (Pubitemid 44172178)
-
(2005)
Proceedings -Design, Automation and Test in Europe, DATE '05
, vol.II
, pp. 1232-1237
-
-
Weber, W.-D.1
Chou, J.2
Swarbrick, I.3
Wingard, D.4
-
48
-
-
79955678335
-
Adaptive timekeeping replacement: Fine-grained capacity management for shared CMP caches
-
WU, C.-J. AND MARTONOSI, M. 2011. Adaptive timekeeping replacement: Fine-grained capacity management for shared CMP caches. ACM Trans. Archit. Code Optim. 8, 1.
-
(2011)
ACM Trans. Archit. Code Optim.
, vol.8
, pp. 1
-
-
Wu, C.-J.1
Martonosi, M.2
-
49
-
-
28244458007
-
Formal control techniques for power-performance management
-
DOI 10.1109/MM.2005.87
-
WU, Q., JUANG, P., MARTONOSI, M., PEH, L.-S., AND CLARK, D. W. 2005. Formal control techniques for powerperformance management. IEEE Micro 25, 5, 52-62. (Pubitemid 41709738)
-
(2005)
IEEE Micro
, vol.25
, Issue.5
, pp. 52-62
-
-
Wu, Q.1
Juang, P.2
Martonosi, M.3
Peh, L.-S.4
Clark, D.W.5
-
50
-
-
29144463717
-
Fast and fair: Data-stream quality of service
-
CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
YEH, T. Y. AND REINMAN, G. 2005. Fast and fair: data-stream quality of service. In Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems. 237-248. (Pubitemid 41802820)
-
(2005)
CASES 2005: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 237-248
-
-
Yeh, T.Y.1
Reinman, G.2
|