-
2
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
October
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC Benchmark Suite: Characterization and Architectural Implications. In International Conference on Parallel Architectures and Compilation Techniques, pages 72-81, October 2008.
-
(2008)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
3
-
-
33846535493
-
The M5 Simulator: Modeling networked systems
-
July/August
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 Simulator: Modeling Networked Systems. IEEE Micro, 26(4):52-60, July/August 2006.
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
8
-
-
36849013038
-
On-chip interconnection networks of the TRIPS Chip
-
September/October
-
P. Gratz, C. Kim, K. Sankaralingam, H. Hanson, P. Shivakumar, S. W. Keckler, and D. Burger. On-Chip Interconnection Networks of the TRIPS Chip. IEEE Micro, 27(5):41-50, September/October 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 41-50
-
-
Gratz, P.1
Kim, C.2
Sankaralingam, K.3
Hanson, H.4
Shivakumar, P.5
Keckler, S.W.6
Burger, D.7
-
9
-
-
64949096127
-
Express cube topologies for on-chip interconnects
-
February
-
B. Grot, J. Hestness, S. W. Keckler, and O. Mutlu. Express Cube Topologies for on-Chip Interconnects. In International Symposium on High-Performance Computer Architecture, pages 163-174, February 2009.
-
(2009)
International Symposium on High-Performance Computer Architecture
, pp. 163-174
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
10
-
-
76749160934
-
Preemptive virtual clock: A flexible, efficient, and cost-effective QOS scheme for networks-on-chip
-
December
-
B. Grot, S. W. Keckler, and O. Mutlu. Preemptive Virtual Clock: a Flexible, Efficient, and Cost-effective QOS Scheme for Networks-on-Chip. In International Symposium on Microarchitecture, pages 268-279, December 2009.
-
(2009)
International Symposium on Microarchitecture
, pp. 268-279
-
-
Grot, B.1
Keckler, S.W.2
Mutlu, O.3
-
13
-
-
70350060187
-
ORION 2.0: A fast and accurate noc power and area model for early-stage design space exploration
-
April
-
A. Kahng, B. Li, L.-S. Peh, and K. Samadi. ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-stage Design Space Exploration. In Design, Automation, and Test in Europe, pages 423-428, April 2009.
-
(2009)
Design, Automation, and Test in Europe
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
14
-
-
0018518295
-
Virtual cut-through: A new computer communication switching technique
-
September
-
P. Kermani and L. Kleinrock. Virtual Cut-through: a New Computer Communication Switching Technique. Computer Networks, 3:267-286, September 1979.
-
(1979)
Computer Networks
, vol.3
, pp. 267-286
-
-
Kermani, P.1
Kleinrock, L.2
-
15
-
-
76749128509
-
Low-cost router microarchitecture for on-chip networks
-
December
-
J. Kim. Low-cost Router Microarchitecture for On-chip Networks. In International Symposium on Microarchitecture, pages 255-266, December 2009.
-
(2009)
International Symposium on Microarchitecture
, pp. 255-266
-
-
Kim, J.1
-
17
-
-
52649149257
-
IDEAL: Inter-router dual-function energy and area-efficient links for network-on-chip (NoC) architectures
-
June
-
A. K. Kodi, A. Sarathy, and A. Louri. iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures. In International Symposium on Computer Architecture, pages 241-250, June 2008.
-
(2008)
International Symposium on Computer Architecture
, pp. 241-250
-
-
Kodi, A.K.1
Sarathy, A.2
Louri, A.3
-
18
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
May
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express Virtual Channels: Towards the Ideal Interconnection Fabric. In International Symposium on Computer Architecture, pages 150-161, May 2007.
-
(2007)
International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
19
-
-
52649094492
-
Globally-synchronized frames for guaranteed quality-of-service in on-chip networks
-
June
-
J. W. Lee, M. C. Ng, and K. Asanović. Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks. In International Symposium on Computer Architecture, pages 89-100, June 2008.
-
(2008)
International Symposium on Computer Architecture
, pp. 89-100
-
-
Lee, J.W.1
Ng, M.C.2
Asanović, K.3
-
25
-
-
31344457004
-
Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor
-
January
-
D. Pham et al. Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor. IEEE Journal of Solid-State Circuits, 41(1):179-196, January 2006.
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 179-196
-
-
Pham, D.1
-
26
-
-
58849136152
-
Low-power high-speed transceivers for network-on-chip communication
-
January
-
D. Schinkel, E. Mensink, E. Klumperink, E. van Tuijl, and B. Nauta. Low-Power, High-Speed Transceivers for Network-on-Chip Communication. IEEE Transactions on VLSI Systems, 17(1):12-21, January 2009.
-
(2009)
IEEE Transactions on VLSI Systems
, vol.17
, Issue.1
, pp. 12-21
-
-
Schinkel, D.1
Mensink, E.2
Klumperink, E.3
Van Tuijl, E.4
Nauta, B.5
-
27
-
-
77952200539
-
A 40nm 16-core 128-thread CMT SPARC SoC Processor
-
February
-
J. Shin, K. Tam, D. Huang, B. Petrick, H. Pham, C. Hwang, H. Li, A. Smith, T. Johnson, F. Schumacher, D. Greenhill, A. Leon, and A. Strong. A 40nm 16-core 128-thread CMT SPARC SoC Processor. In International Solid-State Circuits Conference, pages 98-99, February 2010.
-
(2010)
International Solid-State Circuits Conference
, pp. 98-99
-
-
Shin, J.1
Tam, K.2
Huang, D.3
Petrick, B.4
Pham, H.5
Hwang, C.6
Li, H.7
Smith, A.8
Johnson, T.9
Schumacher, F.10
Greenhill, D.11
Leon, A.12
Strong, A.13
-
28
-
-
80052542301
-
-
Tilera TILE-Gx100. http://www.tilera.com/products/TILE-Gx.php.
-
Tilera TILE-Gx100
-
-
-
29
-
-
0031236158
-
Baring it all to software: RAW machines
-
September
-
E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J. Babb, S. Amarasinghe, and A. Agarwal. Baring It All to Software: RAW Machines. IEEE Computer, 30(9):86-93, September 1997.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
-
30
-
-
84862144932
-
Power-driven design of router microarchitectures in on-chip networks
-
December
-
H. Wang, L.-S. Peh, and S. Malik. Power-driven Design of Router Microarchitectures in On-chip Networks. In International Symposium on Microarchitecture, pages 105-116, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 105-116
-
-
Wang, H.1
Peh, L.-S.2
Malik, S.3
-
31
-
-
36849030305
-
On-chip interconnection architecture of the tile processor
-
September/October
-
D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.-C. Miao, J. F. B. III, and A. Agarwal. On-Chip Interconnection Architecture of the Tile Processor. IEEE Micro, 27(5):15-31, September/October 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 15-31
-
-
Wentzlaff, D.1
Griffin, P.2
Hoffmann, H.3
Bao, L.4
Edwards, B.5
Ramey, C.6
Mattina, M.7
Miao, C.-C.8
Iii, J.F.B.9
Agarwal, A.10
|