-
2
-
-
34548859786
-
Comparison of split-versus connected-core supplies in the POWER6 microprocessor
-
Feb.
-
N. James, P. Restle, J. Friedrich, B. Huott, and B. McCredie, "Comparison of split-versus connected-core supplies in the POWER6 microprocessor," in Proc. IEEE Int. Solid State Circuits Conf., Feb. 2007, pp. 298-604.
-
(2007)
Proc. IEEE Int. Solid State Circuits Conf.
, pp. 298-604
-
-
James, N.1
Restle, P.2
Friedrich, J.3
Huott, B.4
McCredie, B.5
-
3
-
-
33750600861
-
New generation of predictive technology model for sub-45 nm early design exploration
-
DOI 10.1109/TED.2006.884077
-
W. Zhao and Y. Cao, "New generation of predictive technology model for sub-45nm early design exploration," IEEE Trans. Electron Devices, vol. 53, no. 11, pp. 2816-2823, 2006. (Pubitemid 44680679)
-
(2006)
IEEE Transactions on Electron Devices
, vol.53
, Issue.11
, pp. 2816-2823
-
-
Zhao, W.1
Cao, Y.2
-
4
-
-
80053276786
-
Voltage noise: Why its bad, and what to do about it
-
V. J. Reddi, M. S. Gupta, K. K. Rangan, S. Campanoni, G. Holloway, M. D. Smith, G.-Y. Wei, and D. Brooks, "Voltage noise: Why its bad, and what to do about it," in Workshop SELSE, 2009.
-
(2009)
Workshop SELSE
-
-
Reddi, V.J.1
Gupta, M.S.2
Rangan, K.K.3
Campanoni, S.4
Holloway, G.5
Smith, M.D.6
Wei, G.-Y.7
Brooks, D.8
-
5
-
-
34548348855
-
Understanding voltage variations in chip multiprocessors using a distributed power-delivery network
-
Apr.
-
M. S. Gupta, J. L. Oatley, R. Joseph, G.-Y. Wei, and D. Brooks, "Understanding voltage variations in chip multiprocessors using a distributed power-delivery network," in Proc. DATE, Apr. 2007, pp. 1-6.
-
(2007)
Proc. DATE
, pp. 1-6
-
-
Gupta, M.S.1
Oatley, J.L.2
Joseph, R.3
Wei, G.-Y.4
Brooks, D.5
-
7
-
-
79951694520
-
Voltage smoothing: Characterizing and mitigating voltage noise in production processors via software-guided thread scheduling
-
V. J. Reddi, S. Kanev, W. Kim, S. Campanoni, M. D. Smith, G.-Y. Wei, and D. Brooks, "Voltage smoothing: Characterizing and mitigating voltage noise in production processors via software-guided thread scheduling," in Proc. 43rd Annu. IEEE/ACM Int. Symp. Microarchitecture, 2010, pp. 77-88.
-
(2010)
Proc. 43rd Annu. IEEE/ACM Int. Symp. Microarchitecture
, pp. 77-88
-
-
Reddi, V.J.1
Kanev, S.2
Kim, W.3
Campanoni, S.4
Smith, M.D.5
Wei, G.-Y.6
Brooks, D.7
-
8
-
-
0031641244
-
Power considerations in the design of the Alpha 21264 microprocessor
-
Jun.
-
M. K. Gowan, L. L. Biro, and D. B. Jackson, "Power considerations in the design of the Alpha 21264 microprocessor," in Proc. 35th Annu. Des. Autom. Conf., Jun. 1998, pp. 726-731.
-
(1998)
Proc. 35th Annu. Des. Autom. Conf.
, pp. 726-731
-
-
Gowan, M.K.1
Biro, L.L.2
Jackson, D.B.3
-
9
-
-
46649092952
-
Noisedirect: A technique for power supply noise aware floorplanning using microarchitecture profiling
-
Jan.
-
F. Mohamood, M. B. Healy, S. K. Lim, and H.-H. S. Lee, "Noisedirect: A technique for power supply noise aware floorplanning using microarchitecture profiling," in Proc. ASP-DAC, Jan. 2007, pp. 786-791.
-
(2007)
Proc. ASP-DAC
, pp. 786-791
-
-
Mohamood, F.1
Healy, M.B.2
Lim, S.K.3
Lee, H.-H.S.4
-
10
-
-
0036625321
-
On-chip decoupling capacitor optimization using architecture level prediction
-
DOI 10.1109/TVLSI.2002.1043335, PII S1063821002063035
-
M. D. Pant, P. Pant, and D. S. Wills, "On-chip decoupling capacitor optimization using architectural level prediction," IEEE Trans. Very Large Scale Integr. Syst., vol. 10, no. 3, pp. 319-326, Jun. 2002. (Pubitemid 35369651)
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.3
, pp. 319-326
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
-
11
-
-
13144279339
-
Current demand balancing: A technique for minimization of current surge in high performance clock-gated microprocessors
-
DOI 10.1109/TVLSI.2004.840404
-
Y. Chen, K. Roy, and C.-K. Koh, "Current demand balancing: A technique for minimization of current surge in high performance clock gated microprocessors," IEEE Trans. Very Large Scale Integr. Syst., vol. 13, no. 1, pp. 75-85, Jan. 2005. (Pubitemid 40178469)
-
(2005)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.13
, Issue.1
, pp. 75-85
-
-
Chen, Y.1
Roy, K.2
Koh, C.-K.3
-
12
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
Oct.
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: Characterization and architectural implications," in Proc. 17th Int. Conf. Parallel Architectures Compilation Tech., Oct. 2008, pp. 72-81.
-
(2008)
Proc. 17th Int. Conf. Parallel Architectures Compilation Tech.
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
13
-
-
49549122926
-
Energy-efficient and metastability immune timing-error detection and instruction replay-based recovery circuits for dynamic variation tolerance
-
Feb.
-
K. A. Bowman, J. W. Tschanz, N. S. Kim, J. Lee, C. B. Wilkerson, S.-L. Lu, T. Karnik, and V. De, "Energy-efficient and metastability immune timing-error detection and instruction replay-based recovery circuits for dynamic variation tolerance," in Proc. IEEE Int. Solid State Circuits Conf., Feb. 2008, pp. 402-623.
-
(2008)
Proc. IEEE Int. Solid State Circuits Conf.
, pp. 402-623
-
-
Bowman, K.A.1
Tschanz, J.W.2
Kim, N.S.3
Lee, J.4
Wilkerson, C.B.5
Lu, S.-L.6
Karnik, T.7
De, V.8
-
14
-
-
84943385246
-
The transmeta code morphing software: Using speculation, recovery, and adaptive retranslation to address real-life challenges
-
Mar.
-
J. Dehnert, B. Grant, J. Banning, R. Johnson, T. Kistler, A. Klaiber, and J. Mattson, "The transmeta code morphing software: Using speculation, recovery, and adaptive retranslation to address real-life challenges," in Proc. 1st Annu. IEEE/ACM Int. Symp. Code Gener. Optimization, Mar. 2003, pp. 15-24.
-
(2003)
Proc. 1st Annu. IEEE/ACM Int. Symp. Code Gener. Optimization
, pp. 15-24
-
-
Dehnert, J.1
Grant, B.2
Banning, J.3
Johnson, R.4
Kistler, T.5
Klaiber, A.6
Mattson, J.7
-
15
-
-
0035365369
-
Dynamic binary translation and optimization
-
DOI 10.1109/12.931892
-
K. Ebcioglu, E. Altman, M. Gschwind, and S. Sathaye, "Dynamic binary translation and optimization," IEEE Trans. Comput., vol. 50, no. 6, pp. 529-548, Jun. 2001. (Pubitemid 32609866)
-
(2001)
IEEE Transactions on Computers
, vol.50
, Issue.6
, pp. 529-548
-
-
Ebcioglu, K.1
Altman, E.2
Gschwind, M.3
Sathaye, S.4
-
16
-
-
0037619265
-
Web search for a planet: The Google cluster architecture
-
Mar.-Apr.
-
L. A. Barroso, J. Dean, and U. Holzle, "Web search for a planet: The Google cluster architecture," Micro, IEEE, vol. 23, no. 2, pp. 22-28, Mar.-Apr. 2003.
-
(2003)
Micro, IEEE
, vol.23
, Issue.2
, pp. 22-28
-
-
Barroso, L.A.1
Dean, J.2
Holzle, U.3
-
17
-
-
84944408150
-
Razor: A low-power pipeline based on circuit-level timing speculation
-
Dec.
-
D. Ernst, N. Kim, S. Das, S. Pant, R. Rao, T. Pham, K. F. C. Ziesler, D. Blaauw, T. Austin, and T. Mudge, "Razor: A low-power pipeline based on circuit-level timing speculation," in Proc. 36th Int. Symp. Microarchitecture, Dec. 2003, pp. 7-18.
-
(2003)
Proc. 36th Int. Symp. Microarchitecture
, pp. 7-18
-
-
Ernst, D.1
Kim, N.2
Das, S.3
Pant, S.4
Rao, R.5
Pham, T.6
Ziesler, K.F.C.7
Blaauw, D.8
Austin, T.9
Mudge, T.10
-
18
-
-
8344232253
-
Adaptive incremental checkpointing for massively parallel systems
-
S. Agarwal, R. Garg, M. S. Gupta, and J. E. Moreira, "Adaptive incremental checkpointing for massively parallel systems," in Proc. Int. Conf. Supercomputing, 2004, pp. 277-286.
-
(2004)
Proc. Int. Conf. Supercomputing
, pp. 277-286
-
-
Agarwal, S.1
Garg, R.2
Gupta, M.S.3
Moreira, J.E.4
-
19
-
-
10744221866
-
A 1.3 GHz fifth generation SPARC64 microprocessor
-
Nov.
-
H. Ando, Y. Yoshida, A. Inoue, I. Sugiyama, T. Asakawa, K. Morita, T. Muta, T. Motokurumada, S. Okada, H. Yamashita, Y. Satsukawa, A. Konmoto, R. Yamashita, and H. Sugiyama, "A 1.3 GHz fifth generation SPARC64 microprocessor," IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1896-1905, Nov. 2003.
-
(2003)
IEEE J. Solid-State Circuits
, vol.38
, Issue.11
, pp. 1896-1905
-
-
Ando, H.1
Yoshida, Y.2
Inoue, A.3
Sugiyama, I.4
Asakawa, T.5
Morita, K.6
Muta, T.7
Motokurumada, T.8
Okada, S.9
Yamashita, H.10
Satsukawa, Y.11
Konmoto, A.12
Yamashita, R.13
Sugiyama, H.14
-
20
-
-
33748113790
-
ReStore: Symptom-based soft error detection in microprocessors
-
DOI 10.1109/TDSC.2006.40, 1673379
-
N. J. Wang and S. J. Patel, "ReStore: Symptom-based soft error detection in microprocessors," IEEE Trans. Dependable Secur. Comput., vol. 3, no. 3, pp. 188-201, Jul.-Sep. 2006. (Pubitemid 44304207)
-
(2006)
IEEE Transactions on Dependable and Secure Computing
, vol.3
, Issue.3
, pp. 188-201
-
-
Wang, N.J.1
Patel, S.J.2
-
21
-
-
0042635601
-
A 1.3 GHz fifth-generation sparc64 microprocessor
-
Jun.
-
H. Ando, Y. Yoshida, A. Inoue, I. Sugiyama, T. Asakawa, K. Morita, T. Muta, T. Motokurumada, S. Okada, H. Yamashita, Y. Satsukawa, A. Konmoto, R. Yamashita, and H. Sugiyama, "A 1.3 GHz fifth-generation sparc64 microprocessor," in Proc. Design Autom. Conf., Jun. 2003, pp. 702-705.
-
(2003)
Proc. Design Autom. Conf.
, pp. 702-705
-
-
Ando, H.1
Yoshida, Y.2
Inoue, A.3
Sugiyama, I.4
Asakawa, T.5
Morita, K.6
Muta, T.7
Motokurumada, T.8
Okada, S.9
Yamashita, H.10
Satsukawa, Y.11
Konmoto, A.12
Yamashita, R.13
Sugiyama, H.14
-
22
-
-
0032667728
-
IBM's s/390 g5 microprocessor design
-
Mar.-Apr.
-
T. Slegel, I. Averill, R. M., M. Check, B. Giamei, B. Krumm, C. Krygowski, W. Li, J. Liptay, J. MacDougall, T. McPherson, J. Navarro, E. Schwarz, K. Shum, and C. Webb, "IBM's s/390 g5 microprocessor design," IEEE Micro, vol. 19, no. 2, pp. 12-23, Mar.-Apr. 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.2
, pp. 12-23
-
-
Slegel, T.1
Averill, I.2
Check, R.M.M.3
Giamei, B.4
Krumm, B.5
Krygowski, C.6
Li, W.7
Liptay, J.8
MacDougall, J.9
McPherson, T.10
Navarro, J.11
Schwarz, E.12
Shum, K.13
Webb, C.14
-
23
-
-
0003745936
-
-
Univ. Wisconsin-Madison, Madison, Comput. Sci. Tech. Rep.
-
D. J. Sorin, M. M. K. Martin, M. D. Hill, and D. A. Wood, "Fast checkpoint/recovery to support kilo-instruction speculation and hardware fault tolerance," Univ. Wisconsin-Madison, Madison, Comput. Sci. Tech. Rep., 2000.
-
(2000)
Fast checkpoint/recovery to Support Kilo-instruction Speculation and Hardware Fault Tolerance
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
24
-
-
84948992629
-
Cherry: Checkpointed early resource recycling in out-of-order microprocessors
-
Nov.
-
J. F. Martínez, J. Renau, M. C. Huang, M. Prvulovic, and J. Torrellas, "Cherry: Checkpointed early resource recycling in out-of-order microprocessors," in Proc. 35th Int. Symp. Microarchitecture, Nov. 2002, pp. 3-14.
-
(2002)
Proc. 35th Int. Symp. Microarchitecture
, pp. 3-14
-
-
Martínez, J.F.1
Renau, J.2
Huang, M.C.3
Prvulovic, M.4
Torrellas, J.5
-
25
-
-
28444492331
-
Checkpointed early load retirement
-
Proceedings - 11th International Symposium on High-Performance Computer Architecture, HPCA-11 2005
-
N. Kirman, M. Kirman, M. Chaudhuri, and J. Martinez, "Checkpointed early load retirement," in Proc. 11th Int. Symp. HPCA, Feb. 2005, pp. 16-27. (Pubitemid 41731483)
-
(2005)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 16-27
-
-
Kirman, N.1
Kirman, M.2
Chaudhuri, M.3
Martinez, J.F.4
-
26
-
-
34547457076
-
Ultralow-cost defect protection for microprocessor pipelines
-
S. Shyam, K. Constantinides, S. Phadke, V. Bertacco, and T. Austin, "Ultralow-cost defect protection for microprocessor pipelines," in Proc. 12th ASPLOS, 2006, pp. 73-82.
-
(2006)
Proc. 12th ASPLOS
, pp. 73-82
-
-
Shyam, S.1
Constantinides, K.2
Phadke, S.3
Bertacco, V.4
Austin, T.5
-
27
-
-
27544515395
-
BugNet: Continuously recording program execution for deterministic replay debugging
-
Proceedings - 32nd International Symposium on Computer Architecture, ISCA 2005
-
S. Narayanasamy, G. Pokam, and B. Calder, "BugNet: Continuously recording program execution for deterministic replay debugging," in Proc. 32nd Annu. ISCA, 2005, pp. 284-295. (Pubitemid 41543448)
-
(2005)
Proceedings - International Symposium on Computer Architecture
, pp. 284-295
-
-
Narayanasamy, S.1
Pokam, G.2
Calder, B.3
-
28
-
-
57749207483
-
DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors
-
Feb.
-
M. S. Gupta, K. Rangan, M. D. Smith, G.-Y. Wei, and D. M. Brooks, "DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors," in Proc. HPCA, Feb. 2008, pp. 381-392.
-
(2008)
Proc. HPCA
, pp. 381-392
-
-
Gupta, M.S.1
Rangan, K.2
Smith, M.D.3
Wei, G.-Y.4
Brooks, D.M.5
-
29
-
-
36949010951
-
Towards a software approach to mitigate voltage emergencies
-
DOI 10.1145/1283780.1283808, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
M. S. Gupta, K. Rangan, M. D. Smith, G.-Y. Wei, and D. Brooks, "Toward a software approach to mitigate voltage emergencies," in Proc. ISLPED, Aug. 2007, pp. 123-128. (Pubitemid 350239913)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 123-128
-
-
Gupta, M.S.1
Rangan, K.K.2
Smith, M.D.3
Wei, G.-Y.4
Brooks, D.5
-
30
-
-
16244412618
-
Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization
-
12.1, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
K. Hazelwood and D. Brooks, "Eliminating voltage emergencies via microarchitectural voltage control feedback and dynamic optimization," in Proc. ISPLED, Aug. 2004, pp. 326-331. (Pubitemid 40454735)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 326-331
-
-
Hazelwood, K.1
Brooks, D.2
-
31
-
-
64949143837
-
Voltage emergency prediction: Using signatures to reduce operating margins
-
Feb.
-
V. Reddi, M. Gupta, G. Holloway, G.-Y. Wei, M. Smith, and D. Brooks, "Voltage emergency prediction: Using signatures to reduce operating margins," in Proc. IEEE 15th Int. Symp. HPCA, Feb. 2009, pp. 18-29.
-
(2009)
Proc. IEEE 15th Int. Symp. HPCA
, pp. 18-29
-
-
Reddi, V.1
Gupta, M.2
Holloway, G.3
Wei, G.-Y.4
Smith, M.5
Brooks, D.6
-
32
-
-
79959211845
-
-
Ph.D. dissertation, Harvard Univ., Cambridge, MA, adviser D. Brooks
-
M. S. Gupta, "Variation-aware processor architectures with aggressive operating margins," Ph.D. dissertation, Harvard Univ., Cambridge, MA, 2009, adviser D. Brooks.
-
(2009)
Variation-aware Processor Architectures with Aggressive Operating Margins
-
-
Gupta, M.S.1
-
33
-
-
70350075847
-
An event guided approach to reducing voltage noise in processors
-
Apr.
-
M. Gupta, V. Reddi, G. Holloway, G.-Y. Wei, and D. Brooks, "An event guided approach to reducing voltage noise in processors," in Proc. Des. Autom. Test Eur. Conf. Exhibit., Apr. 2009, pp. 160-165.
-
(2009)
Proc. Des. Autom. Test Eur. Conf. Exhibit.
, pp. 160-165
-
-
Gupta, M.1
Reddi, V.2
Holloway, G.3
Wei, G.-Y.4
Brooks, D.5
-
34
-
-
16244391007
-
Microarchitectural simulation and control of di/dt-induced power supply voltage variation
-
E. Grochowski, D. Ayers, and V. Tiwari, "Microarchitectural simulation and control of di/dt-induced power supply voltage variation," in Proc. Int. Symp. High-Performance Comput. Architecture, 2002, pp. 7-16.
-
(2002)
Proc. Int. Symp. High-Performance Comput. Architecture
, pp. 7-16
-
-
Grochowski, E.1
Ayers, D.2
Tiwari, V.3
-
35
-
-
16244397252
-
Control techniques to eliminate voltage emergencies in high performance processors
-
Feb.
-
R. Joseph, D. Brooks, and M. Martonosi, "Control techniques to eliminate voltage emergencies in high performance processors," in Proc. HPCA, Feb. 2003, pp. 79-90.
-
(2003)
Proc. HPCA
, pp. 79-90
-
-
Joseph, R.1
Brooks, D.2
Martonosi, M.3
-
36
-
-
1542359145
-
Pipeline muffling and a priori current ramping: Architectural techniques to reduce high-frequency inductive noise
-
Aug.
-
M. D. Powell and T. N. Vijaykumar, "Pipeline muffling and a priori current ramping: Architectural techniques to reduce high-frequency inductive noise," in Proc. Int. Symp. Low Power Electron. Design, Aug. 2003, pp. 223-228.
-
(2003)
Proc. Int. Symp. Low Power Electron. Design
, pp. 223-228
-
-
Powell, M.D.1
Vijaykumar, T.N.2
-
37
-
-
4644231132
-
Exploiting resonant behavior to reduce inductive noise
-
Jun.
-
M. Powell and T. N. Vijaykumar, "Exploiting resonant behavior to reduce inductive noise," in Proc. ISCA, Jun. 2004, pp. 288-299.
-
(2004)
Proc. ISCA
, pp. 288-299
-
-
Powell, M.1
Vijaykumar, T.N.2
-
38
-
-
77949628649
-
Predicting voltage droops using recurring program and microarchitectural event activity
-
Jan.-Feb.
-
V. J. Reddi, M. Gupta, G. Holloway, M. D. Smith, G.-Y. Wei, and D. Brooks, "Predicting voltage droops using recurring program and microarchitectural event activity," IEEE Micro, vol. 30, no. 1, p. 110, Jan.-Feb. 2010.
-
(2010)
IEEE Micro
, vol.30
, Issue.1
, pp. 110
-
-
Reddi, V.J.1
Gupta, M.2
Holloway, G.3
Smith, M.D.4
Wei, G.-Y.5
Brooks, D.6
-
39
-
-
80053231552
-
-
Ph.D. dissertation, Harvard Univ., Cambridge, MA, adviser D. Brooks
-
V. J. Reddi, "Software-assisted hardware reliability: Using run-time fedback from hardware and software to enable aggressive timing speculation," Ph.D. dissertation, Harvard Univ., Cambridge, MA, 2010, adviser D. Brooks.
-
(2010)
Software-assisted Hardware Reliability: Using Run-time Fedback from Hardware and Software to Enable Aggressive Timing Speculation
-
-
Reddi, V.J.1
-
42
-
-
0034449842
-
Dynamo: A transparent dynamic optimization system
-
V. Bala, E. Duesterwald, and S. Banerjia, "Dynamo: A transparent dynamic optimization system," in Proc. Programming Language Des. Implementation, May 2000, pp. 1-12. (Pubitemid 32394078)
-
(2000)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
, pp. 1-12
-
-
Bala, V.1
Duesterwald, E.2
Banerjia, S.3
-
43
-
-
70350704972
-
Software-assisted hardware reliability: Abstracting circuit-level challenges to the software stack
-
Jul.
-
V. Reddi, M. Gupta, M. Smith, G.-Y. Wei, D. Brooks, and S. Campanoni, "Software-assisted hardware reliability: Abstracting circuit-level challenges to the software stack," in Proc. 46th Annu. DAC, Jul. 2009, pp. 788-793.
-
(2009)
Proc. 46th Annu. DAC
, pp. 788-793
-
-
Reddi, V.1
Gupta, M.2
Smith, M.3
Wei, G.-Y.4
Brooks, D.5
Campanoni, S.6
-
44
-
-
77958068228
-
-
New York, NY: ACM, Oct.
-
V. J. Reddi, S. Campanoni, M. S. Gupta, M. D. Smith, G.-Y. Wei, D. Brooks, and K. Hazelwood, Eliminating Voltage Emergencies via Software-Guided Code Transformations, vol. 7. New York, NY: ACM, Oct. 2010, pp. 12:1-12:28.
-
(2010)
Eliminating Voltage Emergencies via Software-Guided Code Transformations
, vol.7
, pp. 121-1228
-
-
Reddi, V.J.1
Campanoni, S.2
Gupta, M.S.3
Smith, M.D.4
Wei, G.-Y.5
Brooks, D.6
Hazelwood, K.7
-
46
-
-
38049119143
-
-
Ph.D. dissertation, Harvard Univ., Cambridge, MA, adviser M. I. Seltzer
-
A. Fedorova, "Operating system scheduling for chip multithreaded processors," Ph.D. dissertation, Harvard Univ., Cambridge, MA, 2006, adviser M. I. Seltzer.
-
(2006)
Operating System Scheduling for Chip Multithreaded Processors
-
-
Fedorova, A.1
-
47
-
-
77954016468
-
Contention aware execution: Online contention detection and response
-
J. Mars, N. Vachharajani, R. Hundt, and M. L. Soffa, "Contention aware execution: Online contention detection and response," in Proc. 8th Annu. IEEE/ACM Int. Symp. CGO, 2010, pp. 257-265.
-
(2010)
Proc. 8th Annu. IEEE/ACM Int. Symp. CGO
, pp. 257-265
-
-
Mars, J.1
Vachharajani, N.2
Hundt, R.3
Soffa, M.L.4
-
48
-
-
47249103334
-
Using OS observations to improve performance in multicore systems
-
May-Jun.
-
R. Knauerhase, P. Brett, B. Hohlt, T. Li, and S. Hahn, "Using OS observations to improve performance in multicore systems," IEEE Micro, vol. 28, no. 3, pp. 54-66, May-Jun. 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.3
, pp. 54-66
-
-
Knauerhase, R.1
Brett, P.2
Hohlt, B.3
Li, T.4
Hahn, S.5
-
49
-
-
77952248898
-
Addressing shared resource contention in multicore processors via scheduling
-
S. Zhuravlev, S. Blagodurov, and A. Fedorova, "Addressing shared resource contention in multicore processors via scheduling," in Proc. Architectural Support Programming Languages Operating Syst., 2010, pp. 129-142.
-
(2010)
Proc. Architectural Support Programming Languages Operating Syst.
, pp. 129-142
-
-
Zhuravlev, S.1
Blagodurov, S.2
Fedorova, A.3
-
50
-
-
21244474546
-
Predicting inter-thread cache contention on a chip multi-processor architecture
-
Proceedings - 11th International Symposium on High-Performance Computer Architecture, HPCA-11 2005
-
D. Chandra, F. Guo, S. Kim, and Y. Solihin, "Predicting inter-thread cache contention on a chip multi-processor architecture," in Proc. 11th Int. Symp. HPCA, 2005, pp. 340-351. (Pubitemid 41731513)
-
(2005)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 340-351
-
-
Chandra, D.1
Guo, F.2
Kim, S.3
Solihin, Y.4
-
51
-
-
33744824945
-
Predictable performance in SMT processors: Synergy between the OS and SMTs
-
DOI 10.1109/TC.2006.108
-
F. J. Cazorla, P. M. W. Knijnenburg, R. Sakellariou, E. Fernandez, A. Ramirez, and M. Valero, "Predictable performance in SMT processors: Synergy between the OS and SMTs," IEEE Trans. Comput., vol. 55, no. 7, pp. 785-799, Jul. 2006. (Pubitemid 43834513)
-
(2006)
IEEE Transactions on Computers
, vol.55
, Issue.7
, pp. 785-799
-
-
Cazorla, F.J.1
Knijnenburg, P.M.W.2
Sakellariou, R.3
Fernandez, E.4
Ramirez, A.5
Valero, M.6
-
52
-
-
16244415539
-
Mitigating inductive noise in SMT processors
-
12.2, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
W. El-Essawy and D. Albonesi, "Mitigating inductive noise in SMT processors," in Proc. ISLPED, Aug. 2004, pp. 332-337. (Pubitemid 40454736)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 332-337
-
-
El-Essawy, W.1
Albonesi, D.H.2
-
53
-
-
85015899515
-
The price of performance: An economic case for chip multiprocessing
-
Sep.
-
L. A. Barroso, "The price of performance: An economic case for chip multiprocessing," Queue, ACM, vol. 3, no. 7, pp. 48-53, Sep. 2005.
-
(2005)
Queue, ACM
, vol.3
, Issue.7
, pp. 48-53
-
-
Barroso, L.A.1
|