-
1
-
-
77952247820
-
Enhancing operating system support for multicore processors by using hardware performance monitoring
-
R. Azimi, D. K. Tam, L. Soares, and M. Stumm. Enhancing operating system support for multicore processors by using hardware performance monitoring. SIGOPS Oper. Syst. Rev., 43(2):56-65, 2009.
-
(2009)
SIGOPS Oper. Syst. Rev.
, vol.43
, Issue.2
, pp. 56-65
-
-
Azimi, R.1
Tam, D.K.2
Soares, L.3
Stumm, M.4
-
2
-
-
8344240379
-
Effectively sharing a cache among threads
-
New York, NY, USA, ACM.
-
G. E. Blelloch and P. B. Gibbons. Effectively sharing a cache among threads. In SPAA '04: Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures, pages 235-244, New York, NY, USA, 2004. ACM.
-
(2004)
SPAA '04: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures
, pp. 235-244
-
-
Blelloch, G.E.1
Gibbons, P.B.2
-
3
-
-
21244474546
-
Predicting interthread cache contention on a chip multi-processor architecture
-
Washington, DC, USA, IEEE Computer Society
-
D. Chandra, F. Guo, S. Kim, and Y. Solihin. Predicting interthread cache contention on a chip multi-processor architecture. In HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pages 340-351, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 340-351
-
-
Chandra, D.1
Guo, F.2
Kim, S.3
Solihin, Y.4
-
4
-
-
47749140025
-
Bigtable: A distributed storage system for structured data
-
F. Chang, J. Dean, S. Ghemawat,W. C. Hsieh, D. A.Wallach, M. Burrows, T. Chandra, A. Fikes, and R. E. Gruber. Bigtable: A distributed storage system for structured data. ACM Trans. Comput. Syst., 26(2):1-26, 2008.
-
(2008)
ACM Trans. Comput. Syst.
, vol.26
, Issue.2
, pp. 1-26
-
-
Chang, F.1
Dean, J.2
Ghemawat, S.3
Hsieh, W.C.4
Wallach, D.A.5
Burrows, M.6
Chandra, T.7
Fikes, A.8
Gruber, R.E.9
-
5
-
-
34548023929
-
Cooperative cache partitioning for chip multiprocessors
-
New York, NY, USA, ACM
-
J. Chang and G. S. Sohi. Cooperative cache partitioning for chip multiprocessors. In ICS '07: Proceedings of the 21st annual international conference on Supercomputing, pages 242-252, New York, NY, USA, 2007. ACM.
-
(2007)
ICS '07: Proceedings of the 21st Annual International Conference on Supercomputing
, pp. 242-252
-
-
Chang, J.1
Sohi, G.S.2
-
6
-
-
35248852476
-
Scheduling threads for constructive cache sharing on cmps
-
New York, NY, USA, ACM
-
S. Chen, P. B. Gibbons, M. Kozuch, V. Liaskovitis, A. Ailamaki, G. E. Blelloch, B. Falsafi, L. Fix, N. Hardavellas, T. C. Mowry, and C. Wilkerson. Scheduling threads for constructive cache sharing on cmps. In SPAA '07: Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures, pages 105-115, New York, NY, USA, 2007. ACM.
-
(2007)
SPAA '07: Proceedings of the Nineteenth Annual ACM Symposium on Parallel Algorithms and Architectures
, pp. 105-115
-
-
Chen, S.1
Gibbons, P.B.2
Kozuch, M.3
Liaskovitis, V.4
Ailamaki, A.5
Blelloch, G.E.6
Falsafi, B.7
Fix, L.8
Hardavellas, N.9
Mowry, T.C.10
Wilkerson, C.11
-
7
-
-
73349089742
-
Measuring and characterizing end-to-end internet service performance
-
L. Cherkasova, Y. Fu, W. Tang, and A. Vahdat. Measuring and characterizing end-to-end internet service performance. ACM Trans. Internet Technol., 3(4):347-391, 2003.
-
(2003)
ACM Trans. Internet Technol.
, vol.3
, Issue.4
, pp. 347-391
-
-
Cherkasova, L.1
Fu, Y.2
Tang, W.3
Vahdat, A.4
-
8
-
-
0038716440
-
Predicting whole-program locality through reuse distance analysis
-
New York, NY, USA, ACM
-
C. Ding and Y. Zhong. Predicting whole-program locality through reuse distance analysis. In PLDI '03: Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation, pages 245-257, New York, NY, USA, 2003. ACM.
-
(2003)
PLDI '03: Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation
, pp. 245-257
-
-
Ding, C.1
Zhong, Y.2
-
9
-
-
77953984946
-
-
S. Eranian. Perfmon2. http://perfmon2.sourceforge.net/.
-
-
-
Eranian, S.1
-
10
-
-
34548334096
-
Performance of multithreaded chip multiprocessors and implications for operating system design
-
Berkeley, CA, USA, USENIX Association
-
A. Fedorova, M. Seltzer, C. Small, and D. Nussbaum. Performance of multithreaded chip multiprocessors and implications for operating system design. In ATEC '05: Proceedings of the annual conference on USENIX Annual Technical Conference, pages 26-26, Berkeley, CA, USA, 2005. USENIX Association.
-
(2005)
ATEC '05: Proceedings of the Annual Conference on USENIX Annual Technical Conference
, pp. 26-26
-
-
Fedorova, A.1
Seltzer, M.2
Small, C.3
Nussbaum, D.4
-
11
-
-
70449711364
-
Rate-based qos techniques for cache/memory in cmp platforms
-
New York, NY, USA, ACM
-
A. Herdrich, R. Illikkal, R. Iyer, D. Newell, V. Chadha, and J. Moses. Rate-based qos techniques for cache/memory in cmp platforms. In ICS '09: Proceedings of the 23rd international conference on Super-computing, pages 479-488, New York, NY, USA, 2009. ACM.
-
(2009)
ICS '09: Proceedings of the 23rd International Conference on Super-computing
, pp. 479-488
-
-
Herdrich, A.1
Illikkal, R.2
Iyer, R.3
Newell, D.4
Chadha, V.5
Moses, J.6
-
12
-
-
32844471317
-
A nuca substrate for flexible cmp cache sharing
-
New York, NY, USA, ACM
-
J. Huh, C. Kim, H. Shafi, L. Zhang, D. Burger, and S. W. Keckler. A nuca substrate for flexible cmp cache sharing. In ICS '05: Proceedings of the 19th annual international conference on Supercomputing, pages 31-40, New York, NY, USA, 2005. ACM.
-
(2005)
ICS '05: Proceedings of the 19th Annual International Conference on Supercomputing
, pp. 31-40
-
-
Huh, J.1
Kim, C.2
Shafi, H.3
Zhang, L.4
Burger, D.5
Keckler, S.W.6
-
13
-
-
77954001623
-
-
Intel Corporation. Intel Corporation, Santa Clara, CA, USA
-
Intel Corporation. IA-32 Application Developer's Architecture Guide. Intel Corporation, Santa Clara, CA, USA, 2009.
-
(2009)
IA-32 Application Developer's Architecture Guide
-
-
-
14
-
-
8344246922
-
Cqos: A framework for enabling qos in shared caches of cmp platforms
-
New York, NY, USA, ACM
-
R. Iyer. Cqos: a framework for enabling qos in shared caches of cmp platforms. In ICS '04: Proceedings of the 18th annual international conference on Supercomputing, pages 257-266, New York, NY, USA, 2004. ACM.
-
(2004)
ICS '04: Proceedings of the 18th Annual International Conference on Supercomputing
, pp. 257-266
-
-
Iyer, R.1
-
15
-
-
36349002905
-
Qos policies and architecture for cache/memory in cmp platforms
-
New York, NY, USA, ACM
-
R. Iyer, L. Zhao, F. Guo, R. Illikkal, S. Makineni, D. Newell, Y. Solihin, L. Hsu, and S. Reinhardt. Qos policies and architecture for cache/memory in cmp platforms. In SIGMETRICS '07: Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, pages 25-36, New York, NY, USA, 2007. ACM.
-
(2007)
SIGMETRICS '07: Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems
, pp. 25-36
-
-
Iyer, R.1
Zhao, L.2
Guo, F.3
Illikkal, R.4
Makineni, S.5
Newell, D.6
Solihin, Y.7
Hsu, L.8
Reinhardt, S.9
-
16
-
-
63549085110
-
Analysis and approximation of optimal co-scheduling on chip multiprocessors
-
New York, NY, USA, ACM
-
Y. Jiang, X. Shen, J. Chen, and R. Tripathi. Analysis and approximation of optimal co-scheduling on chip multiprocessors. In PACT '08: Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 220-229, New York, NY, USA, 2008. ACM.
-
(2008)
PACT '08: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques
, pp. 220-229
-
-
Jiang, Y.1
Shen, X.2
Chen, J.3
Tripathi, R.4
-
17
-
-
10444238444
-
Fair cache sharing and partitioning in a chip multiprocessor architecture
-
Washington, DC, USA, IEEE Computer Society
-
S. Kim, D. Chandra, and Y. Solihin. Fair cache sharing and partitioning in a chip multiprocessor architecture. In PACT '04: Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, pages 111-122, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
PACT '04: Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques
, pp. 111-122
-
-
Kim, S.1
Chandra, D.2
Solihin, Y.3
-
18
-
-
77954008706
-
Demand for data puts engineers in spotlight
-
Published June 17th
-
S. Lohr. Demand for data puts engineers in spotlight. The New York Times, 2008. Published June 17th.
-
(2008)
The New York Times
-
-
Lohr, S.1
-
19
-
-
85133141826
-
End-user tools for application performance analysis using hardware counters
-
K. London, J. Dongarra, S. Moore, P. Mucci, K. Seymour, and T. Spencer. End-user tools for application performance analysis using hardware counters. In 14th Conference on Parallel and Distributed Computing Systems, August 2001.
-
14th Conference on Parallel and Distributed Computing Systems, August 2001
-
-
London, K.1
Dongarra, J.2
Moore, S.3
Mucci, P.4
Seymour, K.5
Spencer, T.6
-
20
-
-
67650568324
-
Scenario based optimization: A framework for statically enabling online optimizations
-
Washington, DC, USA, IEEE Computer Society
-
J. Mars and R. Hundt. Scenario based optimization: A framework for statically enabling online optimizations. In CGO '09: Proceedings of the 2009 International Symposium on Code Generation and Optimization, pages 169-179, Washington, DC, USA, 2009. IEEE Computer Society.
-
(2009)
CGO '09: Proceedings of the 2009 International Symposium on Code Generation and Optimization
, pp. 169-179
-
-
Mars, J.1
Hundt, R.2
-
21
-
-
70449655189
-
Flexdcp: A qos framework for cmp architectures
-
M. Moreto, F. J. Cazorla, A. Ramirez, R. Sakellariou, and M. Valero. Flexdcp: a qos framework for cmp architectures. SIGOPS Oper. Syst. Rev., 43(2):86-96, 2009.
-
(2009)
SIGOPS Oper. Syst. Rev.
, vol.43
, Issue.2
, pp. 86-96
-
-
Moreto, M.1
Cazorla, F.J.2
Ramirez, A.3
Sakellariou, R.4
Valero, M.5
-
22
-
-
34548050337
-
Fair queuing memory systems
-
Washington, DC, USA, IEEE Computer Society
-
K. J. Nesbit, N. Aggarwal, J. Laudon, and J. E. Smith. Fair queuing memory systems. In MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, pages 208-222, Washington, DC, USA, 2006. IEEE Computer Society.
-
(2006)
MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 208-222
-
-
Nesbit, K.J.1
Aggarwal, N.2
Laudon, J.3
Smith, J.E.4
-
23
-
-
35348816719
-
Virtual private caches
-
New York, NY, USA, ACM
-
K. J. Nesbit, J. Laudon, and J. E. Smith. Virtual private caches. In ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture, pages 57-68, New York, NY, USA, 2007. ACM.
-
(2007)
ISCA '07: Proceedings of the 34th Annual International Symposium on Computer Architecture
, pp. 57-68
-
-
Nesbit, K.J.1
Laudon, J.2
Smith, J.E.3
-
24
-
-
34247108325
-
Architectural support for operating system-driven cmp cache management
-
New York, NY, USA, ACM
-
N. Rafique, W.-T. Lim, and M. Thottethodi. Architectural support for operating system-driven cmp cache management. In PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques, pages 2-12, New York, NY, USA, 2006. ACM.
-
(2006)
PACT '06: Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques
, pp. 2-12
-
-
Rafique, N.1
Lim, W.-T.2
Thottethodi, M.3
-
25
-
-
38849123237
-
Eliminating inter-process cache interference through cache reconfigurability for real-time and low-power embedded multi-tasking systems
-
New York, NY, USA, ACM
-
R. Reddy and P. Petrov. Eliminating inter-process cache interference through cache reconfigurability for real-time and low-power embedded multi-tasking systems. In CASES '07: Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems, pages 198-207, New York, NY, USA, 2007. ACM.
-
(2007)
CASES '07: Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 198-207
-
-
Reddy, R.1
Petrov, P.2
-
26
-
-
66749168716
-
Reducing the harmful effects of last-level cache polluters with an os-level, software-only pollute buffer
-
Washington, DC, USA, IEEE Computer Society
-
L. Soares, D. Tam, and M. Stumm. Reducing the harmful effects of last-level cache polluters with an os-level, software-only pollute buffer. In MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture, pages 258-269, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
MICRO '08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture
, pp. 258-269
-
-
Soares, L.1
Tam, D.2
Stumm, M.3
-
27
-
-
51549114926
-
Exploring locking & partitioning for predictable shared caches on multi-cores
-
New York, NY, USA, ACM
-
V. Suhendra and T. Mitra. Exploring locking & partitioning for predictable shared caches on multi-cores. In DAC '08: Proceedings of the 45th annual Design Automation Conference, pages 300-303, New York, NY, USA, 2008. ACM.
-
(2008)
DAC '08: Proceedings of the 45th Annual Design Automation Conference
, pp. 300-303
-
-
Suhendra, V.1
Mitra, T.2
|