-
1
-
-
8344232253
-
Adaptive incremental check-pointing for massively parallel systems
-
ACM, New York
-
AGARWAL, S.,GARG, R.,GUPTA,M. S., ANDMOREIRA, J. E. 2004. Adaptive incremental check-pointing for massively parallel systems. In Proceedings of the 18th Annual International Conference on Super-Computing. ACM, New York 277-286.
-
(2004)
Proceedings of the 18th Annual International Conference on Super-Computing
, pp. 277-286
-
-
Agarwal S.Garg1
R.Guptam., S.2
Andmoreira, J.E.3
-
2
-
-
0004072686
-
-
Prentice Hall, Upper Saddle River, NJ
-
AHO, A. V., SETHI, R., AND ULLMAN, J. D. 2006. Compilers: Principles, Techniques and Tools. Prentice Hall, Upper Saddle River, NJ.
-
(2006)
Compilers: Principles, Techniques and Tools
-
-
Aho, A.V.1
Sethi, R.2
Ullman, J.D.3
-
3
-
-
0042635601
-
A 1.3GHz fifth-generation sparc64 microprocessor
-
ACM, New York
-
ANDO, H., YOSHIDA, Y., INOUE, A., SUGIYAMA, I., ASAKAWA, T.,MORITA, K.,MUTA, T.,MOTOKURUMADA, T., OKADA, S., ET AL. 2003. A 1.3GHz fifth-generation sparc64 microprocessor. In Proceedings of the 40th Annual Design Automation Conference. ACM, New York, 702-705.
-
(2003)
Proceedings of the 40th Annual Design Automation Conference
, pp. 702-705
-
-
Ando, H.1
Yoshida, Y.2
Inoue, A.3
Sugiyama, I.4
Asakawa, T.5
Morita, K.6
Muta, T.7
Motokurumada, T.8
Okada, S.9
Et Al.10
-
5
-
-
34548342439
-
Power delivery for high-performance microprocessors
-
AYGUN, K., HILL, M. J., EILERT, K., RADHAKRISHNAN, K., AND LEVIN, A. 2005. Power delivery for high-performance microprocessors. Intel Tech. J. 9.
-
(2005)
Intel Tech. J.
, vol.9
-
-
Aygun, K.1
Hill, M.J.2
Eilert, K.3
Radhakrishnan, K.4
Levin, A.5
-
6
-
-
0034449842
-
Dynamo: A transparent dynamic optimization system
-
ACM, New York
-
BALA, V., DUESTERWALD, E., AND BANERJIA, S. 2000. Dynamo: A transparent dynamic optimization system. In Proceedings of the Conference on Programming Language Design and Implementation. ACM, New York.
-
(2000)
Proceedings of the Conference on Programming Language Design and Implementation
-
-
Bala, V.1
Duesterwald, E.2
Banerjia, S.3
-
7
-
-
49549122926
-
Energy-efficient and metastability-immune timing-error detection and instruction replay-based recovery circuits for dynamic variation tolerance
-
IEEE, Los Alamitos, CA
-
BOWMAN, K. A. ET AL. 2008. Energy-efficient and metastability-immune timing-error detection and instruction replay-based recovery circuits for dynamic variation tolerance. In Proceedings of the International Solid-State Circuits Conference. IEEE, Los Alamitos, CA.
-
(2008)
Proceedings of the International Solid-State Circuits Conference
-
-
Bowman, K.A.1
Et Al.2
-
8
-
-
0033719421
-
Watch: A framework for architectural-level power analysis and optimizations
-
IEEE, Los Alamitos, CA
-
BROOKS, D., TIWARI, V., AND MARTONOSI, M. 2000. Watch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th Annual International Symposium on Computer Architecture. IEEE, Los Alamitos, CA.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
9
-
-
0345673633
-
Benchmarking java grande applications
-
BULL, M., SMITH, L., WESTHEAD, M., HENRY, D., AND DAVEY, R. 2000. Benchmarking java grande applications. In The Practical Applications of Java.
-
(2000)
The Practical Applications of Java
-
-
Bull, M.1
Smith, L.2
Westhead, M.3
Henry, D.4
Davey, R.5
-
11
-
-
36949010951
-
Towards a software approach to mitigate voltage emergencies
-
ACM, New York
-
GUPTA, M. S., RANGAN, K. K., SMITH, M. D.,WEI, G.-Y., AND BROOKS, D. 2007. Towards a software approach to mitigate voltage emergencies. In Proceedings of the International Symposium on Low-Power Electronics and Design. ACM, New York, 123-128.
-
(2007)
Proceedings of the International Symposium on Low-Power Electronics and Design
, pp. 123-128
-
-
Gupta, M.S.1
Rangan, K.K.2
Smith, M.D.3
Wei, G.-Y.4
Brooks, D.5
-
12
-
-
57749207483
-
DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors
-
IEEE, Los Alamitos, CA
-
GUPTA, M. S., RANGAN, K. K., SMITH, M. D., WEI, G.-Y., AND BROOKS, D. 2008. DeCoR: A delayed commit and rollback mechanism for handling inductive noise in processors. In Proceedings of the 14th International Symposium on High-Performance Computer Architecture (HPCA-14). IEEE, Los Alamitos, CA.
-
(2008)
Proceedings of the 14th International Symposium on High-Performance Computer Architecture (HPCA-14)
-
-
Gupta, M.S.1
Rangan, K.K.2
Smith, M.D.3
Wei, G.-Y.4
Brooks, D.5
-
13
-
-
64949093580
-
An event-guided approach to handling inductive noise in processors
-
ACM, New York
-
GUPTA, M. S., REDDI, V. J., SMITH, M. D., WEI, G.-Y., AND BROOKS, D. M. 2009. An event-guided approach to handling inductive noise in processors. In Proceedings of the Conference on Design, Automation and Test in Europe. ACM, New York.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe
-
-
Gupta, M.S.1
Reddi, V.J.2
Smith, M.D.3
Wei, G.-Y.4
Brooks, D.M.5
-
15
-
-
34548859786
-
Comparison of split-versus connected-core supplies in the POWER6 microprocessor
-
IEEE, Los Alamitos, CA
-
JAMES, N., RESTLE, P., FRIEDRICH, J.,HUOTT, B., AND MCCREDIE, B. 2007. Comparison of split-versus connected-core supplies in the POWER6 microprocessor. In Proceedings of the International Solid-State Circuits Conference. IEEE, Los Alamitos, CA.
-
(2007)
Proceedings of the International Solid-State Circuits Conference
-
-
James, N.1
Restle, P.2
Friedrich, J.3
Huott, B.4
Mccredie, B.5
-
16
-
-
16244397252
-
Control techniques to eliminate voltage emergencies in high-performance processors
-
IEEE, Los Alamitos, CA
-
JOSEPH, R., BROOKS, D., AND MARTONOSI, M. 2003. Control techniques to eliminate voltage emergencies in high-performance processors. In Proceedings of the 9th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2003)
Proceedings of the 9th International Symposium on High-Performance Computer Architecture
-
-
Joseph, R.1
Brooks, D.2
Martonosi, M.3
-
17
-
-
28444492331
-
Checkpointed early load retirement
-
IEEE, Los Alamitos, CA
-
KIRMAN, N., KIRMAN, M., CHAUDHURI, M., AND MARTINEZ, J. 2005. Checkpointed early load retirement. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture. IEEE, Los Alamitos, CA.
-
(2005)
Proceedings of the 11th International Symposium on High-Performance Computer Architecture
-
-
Kirman, N.1
Kirman, M.2
Chaudhuri, M.3
Martinez, J.4
-
18
-
-
33746072602
-
Online performance auditing: Using hot optimizations without getting burned
-
ACM, New York
-
LAU, J.,ARNOLD, M.,HIND, M., AND CALDER, B. 2006. Online performance auditing: Using hot optimizations without getting burned. In Proceedings of the Conference on Programming Language Design and Implementation. ACM, New York.
-
(2006)
Proceedings of the Conference on Programming Language Design and Implementation
-
-
Lau, J.1
Arnold, M.2
Hind, M.3
Calder, B.4
-
19
-
-
84948992629
-
Cherry: Checkpointed early resource recycling in out-of-order microprocessors
-
ACM, New York
-
MART'INEZ, J. F., RENAU, J., HUANG, M. C., PRVULOVIC, M., AND TORRELLAS, J. 2002. Cherry: Checkpointed early resource recycling in out-of-order microprocessors. In Proceedings of the 35th Annual International Symposium on Microarchitecture. ACM, New York.
-
(2002)
Proceedings of the 35th Annual International Symposium on Microarchitecture
-
-
Mart'inez, J.F.1
Renau, J.2
Huang, M.C.3
Prvulovic, M.4
Torrellas, J.5
-
20
-
-
27544515395
-
BugNet: Continuously recording program execution for deterministic replay Debugging
-
IEEE, Los Alamitos, CA
-
NARAYANASAMY, S., POKAM, G., AND CALDER, B. 2005. BugNet: Continuously recording program execution for deterministic replay Debugging. In Proceedings of the International Symposium on Computer Architecture. IEEE, Los Alamitos, CA.
-
(2005)
Proceedings of the International Symposium on Computer Architecture
-
-
Narayanasamy, S.1
Pokam, G.2
Calder, B.3
-
21
-
-
0033359227
-
An architectural solution for the inductive noise problem due to clock-gating
-
ACM, New York
-
PANT, M. D., PANT, P.,WILLS, D. S., AND TIWARI, V. 1999. An architectural solution for the inductive noise problem due to clock-gating. In Proceedings of the 1999 International Symposium on Low- Power Electronics and Design (ISLPED'99). ACM, New York, 255-257.
-
(1999)
Proceedings of the 1999 International Symposium on Low- Power Electronics and Design (ISLPED'99)
, pp. 255-257
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
Tiwari, V.4
-
24
-
-
35448997330
-
Online optimizations driven by hardware performance monitoring
-
ACM, New York
-
SCHNEIDER, F. T., PAYER, M., AND GROSS, T. R. 2007. Online optimizations driven by hardware performance monitoring. In Proceedings of the 2007Conference on Programming Language Design and Implementation. ACM, New York.
-
(2007)
Proceedings of the 2007Conference on Programming Language Design and Implementation
-
-
Schneider, F.T.1
Payer, M.2
Gross, T.R.3
-
25
-
-
34547457076
-
Ultra low-cost defect protection for microprocessor pipelines
-
ACM, New York
-
SHYAM, S.,CONSTANTINIDES, K., PHADKE, S.,BERTACCO, V., AND AUSTIN, T. 2006. Ultra low-cost defect protection for microprocessor pipelines. In Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems. ACM, New York.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Shyam, S.1
Constantinides, K.2
Phadke, S.3
Bertacco, V.4
Austin, T.5
-
26
-
-
0032667728
-
IBM's s/390 g5 microprocessor design
-
SLEGEL, T. J., AVERILL III, R. M., CHECK, M. A., GIAMEI, B. C., KRUMM, B. W., KRYGOWSKI, C. A., LI, W. H., LIPTAY, J. S.,MACDOUGALL, J. D., ET AL. 1999. IBM's s/390 g5 microprocessor design. IEEE Micro 19, 2, 12-23.
-
(1999)
IEEE Micro 19
, vol.2
, pp. 12-23
-
-
Slegel, T.J.1
Averill Iii, R.M.2
Check, M.A.3
Giamei, B.C.4
Krumm, B.W.5
Krygowski, C.A.6
Li, W.H.7
Liptay, J.S.8
Macdougall, J.D.9
Et Al.10
-
27
-
-
0003745936
-
Fast checkpoint/recovery to support Kilo-instruction speculation and hardware fault tolerance
-
University of Wisconsin-Madison
-
SORIN, D. J., MARTIN, M. M. K., HILL, M. D., AND WOOD, D. A. 2000. Fast checkpoint/recovery to support Kilo-instruction speculation and hardware fault tolerance. Tech. rep. University of Wisconsin-Madison.
-
(2000)
Tech. Rep.
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
29
-
-
33748113790
-
ReStore: Symptom-based soft error detection in microprocessors
-
WANG, N. J. AND PATEL, S. J. 2006. ReStore: Symptom-based soft error detection in microprocessors. Trans. Depend. Secure Comput. 3, 4, 401-405.
-
(2006)
Trans. Depend. Secure Comput.
, vol.3
, Issue.4
, pp. 401-405
-
-
Wang, N.J.1
Patel, S.J.2
-
30
-
-
70449468300
-
A cross-layer approach to heterogeneity and reliability
-
ACM, New York
-
WILLIAMS, D., SANYAL, A., UPTON, D., MARS, J., GHOSH, S., AND HAZELWOOD, K. 2009. A cross-layer approach to heterogeneity and reliability. In Proceedings of the 7th International Conference on Formal Methods and Models for Co-Design. ACM, New York, 88-97.
-
(2009)
Proceedings of the 7th International Conference on Formal Methods and Models for Co-Design
, pp. 88-97
-
-
Williams, D.1
Sanyal, A.2
Upton, D.3
Mars, J.4
Ghosh, S.5
Hazelwood, K.6
|