메뉴 건너뛰기




Volumn , Issue , 2014, Pages 440-451

Tangle: Route-oriented dynamic voltage minimization for variation-afflicted, energy-efficient on-chip networks

Author keywords

[No Author keywords available]

Indexed keywords

COMPUTER ARCHITECTURE; ENERGY EFFICIENCY; ENERGY UTILIZATION; ERRORS; SUPERCOMPUTERS;

EID: 84903977448     PISSN: 15300897     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/HPCA.2014.6835953     Document Type: Conference Paper
Times cited : (25)

References (51)
  • 1
    • 84893755546 scopus 로고    scopus 로고
    • Low power error resilient encoding for on-chip data buses
    • D. Bertozzi, L. Benini, and G. de Micheli. Low Power Error Resilient Encoding for On-Chip Data Buses. DATE, 2002.
    • (2002) DATE
    • Bertozzi, D.1    Benini, L.2    De Micheli, G.3
  • 2
    • 0032592096 scopus 로고    scopus 로고
    • Design challenges of technology scaling
    • July
    • S. Borkar. Design Challenges of Technology Scaling. IEEE Micro, 19(4):23-29, July 1999.
    • (1999) IEEE Micro , vol.19 , Issue.4 , pp. 23-29
    • Borkar, S.1
  • 3
    • 33846118079 scopus 로고    scopus 로고
    • Designing reliable systems from unreliable components: The challenges of transistor variability and degradation
    • S. Y. Borkar. Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation. IEEE Micro, 25(6):10-16, 2005.
    • (2005) IEEE Micro , vol.25 , Issue.6 , pp. 10-16
    • Borkar, S.Y.1
  • 4
    • 77954917963 scopus 로고    scopus 로고
    • Future of interconnect fabric: A contrarian view
    • S. Y. Borkar. Future of interconnect fabric: a contrarian view. In SLIP, 2010.
    • (2010) SLIP
    • Borkar, S.Y.1
  • 5
    • 50549175697 scopus 로고
    • On a class of error correcting binary group codes
    • R. C. Bose and D. K. Ray-Chaudhuri. On A Class of Error Correcting Binary Group Codes. Information and Control, 3(1):68-79, 1960.
    • (1960) Information and Control , vol.3 , Issue.1 , pp. 68-79
    • Bose, R.C.1    Ray-Chaudhuri, D.K.2
  • 7
    • 77957980887 scopus 로고    scopus 로고
    • A fully-integrated switched-capacitor 2:1 voltage converter with regulation capability and 90% efficiency at 2.3a/mm2
    • June
    • L. Chang, R. Montoye, B. Ji, A. Weger, K. Stawiasz, and R. Dennard. A Fully-Integrated Switched-Capacitor 2:1 Voltage Converter with Regulation Capability and 90% Efficiency at 2.3A/mm2. In Symposium on VLSI Circuits, June 2010.
    • (2010) Symposium on VLSI Circuits
    • Chang, L.1    Montoye, R.2    Ji, B.3    Weger, A.4    Stawiasz, K.5    Dennard, R.6
  • 9
    • 84954417739 scopus 로고    scopus 로고
    • Towards on-chip faulttolerant communication
    • T. Dumitras, S. Kerner, and R. Marculescu. Towards on-chip faulttolerant communication. ASP-DAC, pages 225-232, 2003.
    • (2003) ASP-DAC , pp. 225-232
    • Dumitras, T.1    Kerner, S.2    Marculescu, R.3
  • 11
    • 84858790858 scopus 로고    scopus 로고
    • Architecture support for disciplined approximate programming
    • H. Esmaeilzadeh, A. Sampson, L. Ceze, and D. Burger. Architecture support for disciplined approximate programming. In ASPLOS, pages 301-312, 2012.
    • (2012) ASPLOS , pp. 301-312
    • Esmaeilzadeh, H.1    Sampson, A.2    Ceze, L.3    Burger, D.4
  • 13
    • 77956597897 scopus 로고    scopus 로고
    • Architecting reliable multi-core networkon- chip for small scale processing technology
    • X. Fu, T. Li, and J. A. B. Fortes. Architecting reliable multi-core networkon- chip for small scale processing technology. In DSN, pages 111-120, 2010.
    • (2010) DSN , pp. 111-120
    • Fu, X.1    Li, T.2    Fortes, J.A.B.3
  • 14
    • 84863552323 scopus 로고    scopus 로고
    • Cost-effective power delivery to support per-core voltage domains for power- constrained processors
    • June
    • H. R. Ghasemi, A. Sinkar, M. Schulte, and N. S. Kim. Cost-Effective Power Delivery to Support Per-Core Voltage Domains for Power- Constrained Processors. In Design Automation Conference, June 2012.
    • (2012) Design Automation Conference
    • Ghasemi, H.R.1    Sinkar, A.2    Schulte, M.3    Kim, N.S.4
  • 18
    • 0014808597 scopus 로고
    • Orthogonal latin square codes
    • July
    • M. Y. Hsiao, D. C. Bossen, and R. T. Chien. Orthogonal latin square codes. IBM J. Res. Dev., 14(4):390-394, July 1970.
    • (1970) IBM J. Res. Dev. , vol.14 , Issue.4 , pp. 390-394
    • Hsiao, M.Y.1    Bossen, D.C.2    Chien, R.T.3
  • 19
    • 84904014297 scopus 로고    scopus 로고
    • International Technology Roadmap for Semiconductors (ITRS)
    • International Technology Roadmap for Semiconductors (ITRS),. 2012 Update.
    • 2012 Update
  • 21
    • 84866660547 scopus 로고    scopus 로고
    • VARIUSNTV: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages
    • U. R. Karpuzcu, K. B. Kolluru, N. S. Kim, and J. Torrellas. VARIUSNTV: A Microarchitectural Model to Capture the Increased Sensitivity of Manycores to Process Variations at Near-Threshold Voltages. DSN, 2012.
    • (2012) DSN
    • Karpuzcu, U.R.1    Kolluru, K.B.2    Kim, N.S.3    Torrellas, J.4
  • 22
    • 47349100793 scopus 로고    scopus 로고
    • Multi-bit error tolerant caches using two-dimensional error coding
    • J. Kim, N. Hardavellas, K. Mai, B. Falsafi, and J. Hoe. Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. MICRO, pages 197-209, 2007.
    • (2007) MICRO , pp. 197-209
    • Kim, J.1    Hardavellas, N.2    Mai, K.3    Falsafi, B.4    Hoe, J.5
  • 23
    • 67650538109 scopus 로고    scopus 로고
    • Design and analysis of an NoC architecture from performance, reliability and energy perspective
    • J. Kim, D. Park, C. Nicopoulos, N. Vijaykrishnan, and C. R. Das. Design and analysis of an NoC architecture from performance, reliability and energy perspective. ANCS, pages 173-182, 2005.
    • (2005) ANCS , pp. 173-182
    • Kim, J.1    Park, D.2    Nicopoulos, C.3    Vijaykrishnan, N.4    Das, C.R.5
  • 24
    • 57749178620 scopus 로고    scopus 로고
    • System level analysis of fast, per-core DVFS using on-chip switching regulators
    • W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In HPCA, pages 123-134, 2008.
    • (2008) HPCA , pp. 123-134
    • Kim, W.1    Gupta, M.S.2    Wei, G.-Y.3    Brooks, D.4
  • 25
    • 52649149257 scopus 로고    scopus 로고
    • IDEAL: Inter-router dual-function energy and area-efficient links for network-on-chip (NoC) architectures
    • A. K. Kodi, A. Sarathy, and A. Louri. iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures. In ISCA, pages 241-250, 2008.
    • (2008) ISCA , pp. 241-250
    • Kodi, A.K.1    Sarathy, A.2    Louri, A.3
  • 26
    • 4544268912 scopus 로고    scopus 로고
    • Cyclic redundancy code (CRC) polynomial selection for embedded networks
    • P. Koopman and T. Chakravarty. Cyclic Redundancy Code (CRC) Polynomial Selection For Embedded Networks. In DSN, 2004.
    • (2004) DSN
    • Koopman, P.1    Chakravarty, T.2
  • 27
    • 77954487423 scopus 로고    scopus 로고
    • On-chip point-of-load voltage regulator for distributed power supplies
    • S. Kose and E. G. Friedman. On-chip point-of-load voltage regulator for distributed power supplies. GLSVLSI, 2010.
    • (2010) GLSVLSI
    • Kose, S.1    Friedman, E.G.2
  • 28
    • 52949114554 scopus 로고    scopus 로고
    • A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS
    • A. Kumar, P. Kundu, A. P. Singh, L.-S. Peh, and N. K. Jha. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. In ICCD, pages 63-70, 2007.
    • (2007) ICCD , pp. 63-70
    • Kumar, A.1    Kundu, P.2    Singh, A.P.3    Peh, L.-S.4    Jha, N.K.5
  • 29
    • 44149117532 scopus 로고    scopus 로고
    • Impact of process and temperature variations on network-on-chip design exploration
    • B. Li, L.-S. Peh, and P. Patra. Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. In NOCS, 2008.
    • (2008) NOCS
    • Li, B.1    Peh, L.-S.2    Patra, P.3
  • 30
    • 40349098498 scopus 로고    scopus 로고
    • Mitigating the impact of process variations on processor register files and execution units
    • X. Liang and D. Brooks. Mitigating the impact of process variations on processor register files and execution units. In MICRO, pages 504-514, 2006.
    • (2006) MICRO , pp. 504-514
    • Liang, X.1    Brooks, D.2
  • 31
    • 41349100757 scopus 로고    scopus 로고
    • Replacing 6T SRAMs with 3T1D DRAMs in the L1 data cache to combat process variability
    • Jan.
    • X. Liang, R. Canal, G.-Y. Wei, and D. Brooks. Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. IEEE Micro, 28(1):60-68, Jan. 2008.
    • (2008) IEEE Micro , vol.28 , Issue.1 , pp. 60-68
    • Liang, X.1    Canal, R.2    Wei, G.-Y.3    Brooks, D.4
  • 32
    • 63149170541 scopus 로고    scopus 로고
    • Revival: A variation-tolerant architecture using voltage interpolation and variable latency
    • Jan.
    • X. Liang, G.-Y. Wei, and D. Brooks. Revival: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency. IEEE Micro, 29(1):127-138, Jan. 2009.
    • (2009) IEEE Micro , vol.29 , Issue.1 , pp. 127-138
    • Liang, X.1    Wei, G.-Y.2    Brooks, D.3
  • 34
    • 80052536229 scopus 로고    scopus 로고
    • A case for heterogeneous on-chip interconnects for CMPs
    • A. K. Mishra, N. Vijaykrishnan, and C. R. Das. A case for heterogeneous on-chip interconnects for CMPs. ISCA, 2011.
    • (2011) ISCA
    • Mishra, A.K.1    Vijaykrishnan, N.2    Das, C.R.3
  • 35
    • 0035311079 scopus 로고    scopus 로고
    • Power: A first-class architectural design constraint
    • T. N. Mudge. Power: A First-Class Architectural Design Constraint. IEEE Computer, 34(4):52-58, 2001.
    • (2001) IEEE Computer , vol.34 , Issue.4 , pp. 52-58
    • Mudge, T.N.1
  • 38
    • 33846049961 scopus 로고    scopus 로고
    • Testing on-die process variation in nanometer VLSI
    • Nov.
    • M. Nourani and A. Radhakrishnan. Testing On-Die Process Variation in Nanometer VLSI. IEEE Des. Test, 23(6):438-451, Nov. 2006.
    • (2006) IEEE Des. Test , vol.23 , Issue.6 , pp. 438-451
    • Nourani, M.1    Radhakrishnan, A.2
  • 39
    • 51549096787 scopus 로고    scopus 로고
    • Variation-adaptive feedback control for networks-on-chip with multiple clock domains
    • Ü. Y. Ogras, R. Marculescu, and D. Marculescu. Variation-adaptive feedback control for networks-on-chip with multiple clock domains. In DAC, pages 614-619, 2008.
    • (2008) DAC , pp. 614-619
    • Ogras, Ü.Y.1    Marculescu, R.2    Marculescu, D.3
  • 40
    • 33845589989 scopus 로고    scopus 로고
    • Exploring fault-tolerant network-on-chip architectures
    • D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das. Exploring Fault-Tolerant Network-on-Chip Architectures. DSN, pages 93-104, 2006.
    • (2006) DSN , pp. 93-104
    • Park, D.1    Nicopoulos, C.2    Kim, J.3    Vijaykrishnan, N.4    Das, C.R.5
  • 41
    • 0034818435 scopus 로고    scopus 로고
    • A delay model and speculative architecture for pipelined routers
    • L.-S. Peh and W. J. Dally. A Delay Model and Speculative Architecture for Pipelined Routers. In HPCA, 2001.
    • (2001) HPCA
    • Peh, L.-S.1    Dally, W.J.2
  • 43
    • 0036953769 scopus 로고    scopus 로고
    • Automatically characterizing large scale program behavior
    • Oct.
    • T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. ASPLOS, 30(5):45-57, Oct. 2002.
    • (2002) ASPLOS , vol.30 , Issue.5 , pp. 45-57
    • Sherwood, T.1    Perelman, E.2    Hamerly, G.3    Calder, B.4
  • 44
    • 49749104801 scopus 로고    scopus 로고
    • Variation tolerant NoC design by means of self-calibrating links
    • M. Simone, M. Lajolo, and D. Bertozzi. Variation tolerant NoC design by means of self-calibrating links. DATE, 2008.
    • (2008) DATE
    • Simone, M.1    Lajolo, M.2    Bertozzi, D.3
  • 45
    • 4444369635 scopus 로고    scopus 로고
    • Coding for system-on-chip networks: A unified framework
    • S. R. Sridhara and N. R. Shanbhag. Coding for system-on-chip networks: a unified framework. DAC, pages 103-106, 2004.
    • (2004) DAC , pp. 103-106
    • Sridhara, S.R.1    Shanbhag, N.R.2
  • 46
    • 84862740379 scopus 로고    scopus 로고
    • DSENT - A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
    • C. Sun, C.-H. O. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, and V. Stojanovic. DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. In NOCS, 2012.
    • (2012) NOCS
    • Sun, C.1    Chen, C.-H.O.2    Kurian, G.3    Wei, L.4    Miller, J.5    Agarwal, A.6    Peh, L.-S.7    Stojanovic, V.8
  • 47
    • 35348837202 scopus 로고    scopus 로고
    • ReCycle: Pipeline adaptation to tolerate process variation
    • A. Tiwari, S. R. Sarangi, and J. Torrellas. ReCycle: Pipeline adaptation to tolerate process variation. ISCA, 2007.
    • (2007) ISCA
    • Tiwari, A.1    Sarangi, S.R.2    Torrellas, J.3
  • 48
    • 66749121858 scopus 로고    scopus 로고
    • Facelift: Hiding and slowing down aging in multicores
    • November
    • A. Tiwari and J. Torrellas. Facelift: Hiding and Slowing Down Aging in Multicores. In MICRO, November 2008.
    • (2008) MICRO
    • Tiwari, A.1    Torrellas, J.2
  • 49
    • 84948976085 scopus 로고    scopus 로고
    • Orion: A powerperformance simulator for interconnection networks
    • H.-S. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A powerperformance simulator for interconnection networks. MICRO 35, pages 294-305, 2002.
    • (2002) MICRO , vol.35 , pp. 294-305
    • Wang, H.-S.1    Zhu, X.2    Peh, L.-S.3    Malik, S.4
  • 50
    • 34547342641 scopus 로고    scopus 로고
    • The impact of NBTI on the performance of combinational and sequential circuits
    • W. Wang, S. Yang, S. Bhardwaj, R. Vattikonda, S. Vrudhula, F. Liu, and Y. Cao. The impact of NBTI on the performance of combinational and sequential circuits. DAC, pages 364-369, 2007.
    • (2007) DAC , pp. 364-369
    • Wang, W.1    Yang, S.2    Bhardwaj, S.3    Vattikonda, R.4    Vrudhula, S.5    Liu, F.6    Cao, Y.7


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.