-
1
-
-
76749138365
-
-
65 nm PTM Technology Model, http://www.eas.asu.edu/ ptm/.
-
65 nm PTM Technology Model, http://www.eas.asu.edu/ ptm/.
-
-
-
-
4
-
-
0032592096
-
Design Challenges of Technology Scaling
-
S. Borkar. Design Challenges of Technology Scaling. IEEE Micro, 19(4):23-29, 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
6
-
-
57749169508
-
Performance and Power Optimization Through Data Compression in Network - on -Chip Architectures
-
R. Das, A. K. Mishra, C. Nicopoulus, D. Park, V. Narayanan, R. Iyer, M. S. Yousif, and C. R. Das. Performance and Power Optimization Through Data Compression in Network - on -Chip Architectures. In Proceedings of the 14th Intl. Symp. on High-Performance Computer Architecture, 2008.
-
(2008)
Proceedings of the 14th Intl. Symp. on High-Performance Computer Architecture
-
-
Das, R.1
Mishra, A.K.2
Nicopoulus, C.3
Park, D.4
Narayanan, V.5
Iyer, R.6
Yousif, M.S.7
Das, C.R.8
-
7
-
-
0000466264
-
Scalable Pipelined Interconnect for Distributed Endpoint routing: The SGI SPIDER Chip
-
M. Galles. Scalable Pipelined Interconnect for Distributed Endpoint routing: The SGI SPIDER Chip. In Symposium on High Performance Interconnects (Hot Interconnects), pages 141-146, 1996.
-
(1996)
Symposium on High Performance Interconnects (Hot Interconnects)
, pp. 141-146
-
-
Galles, M.1
-
10
-
-
76749086887
-
-
US Patent 4978927, Programmable Voltage Controlled Ring Oscillator. 1990
-
K. Hausman, G. Gaudenzi, J. Mosley, and S. Tempest. US Patent 4978927 - Programmable Voltage Controlled Ring Oscillator. 1990.
-
-
-
Hausman, K.1
Gaudenzi, G.2
Mosley, J.3
Tempest, S.4
-
11
-
-
36849022584
-
-
Sept.-Oct
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-GHz Mesh Interconnect for a Teraflops Processor. volume 27, pages 51-61, Sept.-Oct. 2007.
-
(2007)
A 5-GHz Mesh Interconnect for a Teraflops Processor
, vol.27
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
12
-
-
21044433763
-
A Holistic Approach to Designing Energy-Efficient Cluster Interconnects
-
June
-
E.-J. Kim, G. Link, K. H. Yum, V. Narayanan, M. Kandemir, M. J. Irwin, and C. Das. A Holistic Approach to Designing Energy-Efficient Cluster Interconnects. In IEEE Trans. on Computers, volume 54, pages 660-671, June 2005.
-
(2005)
IEEE Trans. on Computers
, vol.54
, pp. 660-671
-
-
Kim, E.-J.1
Link, G.2
Yum, K.H.3
Narayanan, V.4
Kandemir, M.5
Irwin, M.J.6
Das, C.7
-
13
-
-
52649108804
-
Technology-Driven, Highly-Scalable Dragonfly Topology
-
J. Kim, W. J. Dally, S. Scott, , and D. Abts. Technology-Driven, Highly-Scalable Dragonfly Topology. In 35th International Symposium on Computer Architecture (ISCA), 2008.
-
(2008)
35th International Symposium on Computer Architecture (ISCA)
-
-
Kim, J.1
Dally, W.J.2
Scott, S.3
Abts, D.4
-
14
-
-
67650538109
-
Design and Analysis of an NoC Architecture from Performance, Reliability and Energy Perspective
-
J. Kim, D. Park, C. Nicopolous, N. Vijaykrishnan, and C. R. Das. Design and Analysis of an NoC Architecture from Performance, Reliability and Energy Perspective. In ANCS'05: Proceedings of the 2005 Symp. on Arch. for Networking and Comm. Systems, 2005.
-
(2005)
ANCS'05: Proceedings of the 2005 Symp. on Arch. for Networking and Comm. Systems
-
-
Kim, J.1
Park, D.2
Nicopolous, C.3
Vijaykrishnan, N.4
Das, C.R.5
-
15
-
-
27944435722
-
A Low Latency Router Supporting Adaptivity for On-Chip Router
-
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. R. Das. A Low Latency Router Supporting Adaptivity for On-Chip Router. In 42nd Design Automation Conference (DAC), 2005.
-
(2005)
42nd Design Automation Conference (DAC)
-
-
Kim, J.1
Park, D.2
Theocharides, T.3
Vijaykrishnan, N.4
Das, C.R.5
-
16
-
-
52649174496
-
Polymorphic On-Chip Networks
-
M. M. Kim, J. D. Davis, M. Oskin, and T. Austin. Polymorphic On-Chip Networks. In Proc. of the 35th International Symposium on Computer Architecture, ISCA, 2008.
-
(2008)
Proc. of the 35th International Symposium on Computer Architecture, ISCA
-
-
Kim, M.M.1
Davis, J.D.2
Oskin, M.3
Austin, T.4
-
17
-
-
57749178620
-
System Level Analysis of Fast, Per-Core DVFS Using On-Chip Switching Regulators
-
February
-
W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks. System Level Analysis of Fast, Per-Core DVFS Using On-Chip Switching Regulators. In Proceedings of the 14th International Symposium on High-Performance Computer Architecture (HPCA), February 2008.
-
(2008)
Proceedings of the 14th International Symposium on High-Performance Computer Architecture (HPCA)
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.-Y.3
Brooks, D.4
-
18
-
-
52949114554
-
A 4.6Tbits/s 3.6GHz Single-cycle NoC Router with a Novel Switch Allocator in 65nm CMOS
-
A. Kumar, P. Kundu, A. Singh, L.-S. Peh, and N. K. Jha. A 4.6Tbits/s 3.6GHz Single-cycle NoC Router with a Novel Switch Allocator in 65nm CMOS. In 25th International Conference on Computer Design (ICCD), 2007.
-
(2007)
25th International Conference on Computer Design (ICCD)
-
-
Kumar, A.1
Kundu, P.2
Singh, A.3
Peh, L.-S.4
Jha, N.K.5
-
21
-
-
0036469676
-
Simics: A Full System Simulation Platform
-
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner. Simics: A Full System Simulation Platform. Computer, 35(2):50-58, 2002.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hallberg, G.5
Hogberg, J.6
Larsson, F.7
Moestedt, A.8
Werner, B.9
-
22
-
-
70450255432
-
-
T. Moscibroda and O. Mutlu. A Case for Bufferless Routing in On-Chip Networks. In 36th International Symposium on Computer Architecture (ISCA), 2009.
-
T. Moscibroda and O. Mutlu. A Case for Bufferless Routing in On-Chip Networks. In 36th International Symposium on Computer Architecture (ISCA), 2009.
-
-
-
-
27
-
-
0345272496
-
Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, and M. L. Scott. Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. In 8th Intl. Symp. on High-Performance Computer Arch., 2002.
-
(2002)
8th Intl. Symp. on High-Performance Computer Arch
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Scott, M.L.6
-
30
-
-
21644444692
-
Thermal Modeling, Characterization and Management of On-Chip Networks
-
L. Shang, L.-S. Peh, A. Kumar, and N. K. Jha. Thermal Modeling, Characterization and Management of On-Chip Networks. In MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, 2004.
-
(2004)
MICRO 37: Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture
-
-
Shang, L.1
Peh, L.-S.2
Kumar, A.3
Jha, N.K.4
-
31
-
-
15944375362
-
Clock Generation and Distribution for the 130-nm Itanium 2 Processor with 6-MB On-Die L3 Cache
-
April
-
S. Tam, R. Limaye, and U. Desai. Clock Generation and Distribution for the 130-nm Itanium 2 Processor with 6-MB On-Die L3 Cache. In IEEE Journal of Solid-State Circuits, volume 39, pages 636-642, April 2004.
-
(2004)
IEEE Journal of Solid-State Circuits
, vol.39
, pp. 636-642
-
-
Tam, S.1
Limaye, R.2
Desai, U.3
-
34
-
-
84948976085
-
Orion: A Power-Performance Simulator for Interconnection Networks
-
Nov
-
H. Wang, X. Zhu, L.-S. Peh, and S. Malik. Orion: A Power-Performance Simulator for Interconnection Networks. In ACM/IEEE MICRO, Nov 2002.
-
(2002)
ACM/IEEE MICRO
-
-
Wang, H.1
Zhu, X.2
Peh, L.-S.3
Malik, S.4
|