-
1
-
-
79955370378
-
The future of microprocessors
-
S. Borkar and A. Chien, "The future of microprocessors," Commun. ACM, vol. 54, no. 5, p. 67-77, 2011.
-
(2011)
Commun. ACM
, vol.54
, Issue.5
, pp. 67-77
-
-
Borkar, S.1
Chien, A.2
-
2
-
-
84905096452
-
-
Armonk, NY, USA [Online]
-
IBM. (2013). Armonk, NY, USA [Online]. Available: http://www- 03.ibm.com/ systems/power/hardware/
-
(2013)
-
-
-
3
-
-
77952125596
-
Westmere: A family of 32 nm IA processors
-
Feb
-
N. A. Kurd, S. Bhamidipati, C. Mozak, J. L. Miller, T. M. Wilson, M. Nemani, and M. Chowdhury, "Westmere: A family of 32 nm IA processors," in IEEE ISSCC Dig. Tech. Papers, Feb. 2010, pp. 96-97.
-
(2010)
IEEE ISSCC Dig. Tech. Papers
, pp. 96-97
-
-
Kurd, N.A.1
Bhamidipati, S.2
Mozak, C.3
Miller, J.L.4
Wilson, T.M.5
Nemani, M.6
Chowdhury, M.7
-
4
-
-
84905091472
-
-
[Online]
-
(2013) [Online]. Available: http://ark.intel.com/products/55452
-
(2013)
-
-
-
5
-
-
84905124318
-
-
[Online]
-
(2013) [Online]. Available: http://www.amd.com/US/PRODUCTS/SERVER/ PROCESSORS/3000-SERIES-PLATFORM/330%0/Pages/3300-seriesprocessors. aspx#4
-
(2013)
-
-
-
7
-
-
0032592096
-
Design challenges of technology scaling
-
Jul
-
S. Borkar, "Design challenges of technology scaling," IEEE Micro, vol. 19, no. 4, pp. 23-29, Jul. 1999.
-
(1999)
IEEE Micro
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
8
-
-
34247259499
-
Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/ 32nm)
-
DOI 10.1145/1165573.1165581, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
S. Rodriguez and B. Jacob, "Energy/power breakdown of pipelined nanometer caches (90 nm/65 nm/45 nm/32 nm)," in Proc. ISLPED, 2006, pp. 25-30. (Pubitemid 46613753)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 25-30
-
-
Rodriguez, S.1
Jacob, B.2
-
9
-
-
34547425357
-
Design space exploration for multicore architectures: A power/performance/thermal view
-
DOI 10.1145/1183401.1183428, Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006
-
M. Monchiero, R. Canal, and A. González, "Design space exploration for multicore architectures: A power/performance/thermal view," in Proc. Int. Conf. Supercomput., 2006, pp. 177-186. (Pubitemid 47168504)
-
(2006)
Proceedings of the International Conference on Supercomputing
, pp. 177-186
-
-
Monchiero, M.1
Canal, R.2
Gonzalez, A.3
-
10
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, and M. Martonosi, "Cache decay: Exploiting generational behavior to reduce cache leakage power," in Proc. ISCA, 2001, pp. 240-251. (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
11
-
-
0033337012
-
Selective cache ways: On-demand cache resource allocation
-
D. H. Albonesi, "Selective cache ways: On-demand cache resource allocation," in Proc. 32nd MICRO, 1999, pp. 248-259.
-
(1999)
Proc. 32nd MICRO
, pp. 248-259
-
-
Albonesi, D.H.1
-
12
-
-
84860335317
-
Cooperative partitioning: Energy-efficient cache partitioning for highperformance CMPs
-
K. T. Sundararajan, V. Porpodas, T. Jones, N. Topham, and B. Franke, "Cooperative partitioning: Energy-efficient cache partitioning for highperformance CMPs," in Proc. HPCA, 2012, pp. 1-12.
-
(2012)
Proc. HPCA
, pp. 1-12
-
-
Sundararajan, K.T.1
Porpodas, V.2
Jones, T.3
Topham, N.4
Franke, B.5
-
13
-
-
84870597826
-
Leveraging data promotion for low power D-NUCA caches
-
A. Bardine, M. Comparetti, P. Foglia, G. Gabrielli, C. A. Prete, and P. Stenström, "Leveraging data promotion for low power D-NUCA caches," in Proc. 11th EUROMICRO Conf. Digit. Syst. Design Archit., Methods Tools, 2008, pp. 307-316.
-
(2008)
Proc. 11th EUROMICRO Conf. Digit. Syst. Design Archit., Methods Tools
, pp. 307-316
-
-
Bardine, A.1
Comparetti, M.2
Foglia, P.3
Gabrielli, G.4
Prete, C.A.5
Stenström, P.6
-
14
-
-
80052672484
-
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems
-
W. Wang, P. Mishra, and S. Ranka, "Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems," in Proc. DAC, 2011, pp. 948-953.
-
(2011)
Proc. DAC
, pp. 948-953
-
-
Wang, W.1
Mishra, P.2
Ranka, S.3
-
15
-
-
79955093931
-
Poweraware dynamic cache partitioning for CMPs
-
I. Kotera, K. Abe, R. Egawa, H. Takizawa, and H. Kobayashi, "Poweraware dynamic cache partitioning for CMPs," Trans. High-Perform. Embedded Archit. Compilers III, 2011, pp. 135-153.
-
(2011)
Trans. High-Perform. Embedded Archit. Compilers III
, pp. 135-153
-
-
Kotera, I.1
Abe, K.2
Egawa, R.3
Takizawa, H.4
Kobayashi, H.5
-
16
-
-
79955903988
-
ACCESS: Smart scheduling for asymmetric cache CMPs
-
Feb
-
X. Jiang, A. Mishra, L. Zhao, R. Iyer, Z. Fang, S. Srinivasan, S. Makineni, P. Brett, and C. R. Das, "ACCESS: Smart scheduling for asymmetric cache CMPs," in Proc. IEEE 17th Int. Symp. HPCA, Feb. 2011, pp. 527-538.
-
(2011)
Proc. IEEE 17th Int. Symp. HPCA
, pp. 527-538
-
-
Jiang, X.1
Mishra, A.2
Zhao, L.3
Iyer, R.4
Fang, Z.5
Srinivasan, S.6
Makineni, S.7
Brett, P.8
Das, C.R.9
-
17
-
-
0034825598
-
Integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance i-caches
-
S.-H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar, "An integrated circuit/architecture approach to reducing leakage in deepsubmicron high-performance I-caches," in Proc. 7th Int. Symp. HPCA, 2001, pp. 147-157. (Pubitemid 32873585)
-
(2001)
IEEE High-Performance Computer Architecture Symposium Proceedings
, pp. 147-157
-
-
Yang Se-Hyun1
Powell Michael, D.2
Falsafi Babak3
Roy Kaushik4
Vijaykumar, T.N.5
-
18
-
-
77949462086
-
Cache partitioning for energy-efficient and interference-free embedded multitasking
-
R. Reddy and P. Petrov, "Cache partitioning for energy-efficient and interference-free embedded multitasking," ACM Trans. Embedded Comput. Syst., vol. 9, no. 3, pp. 16:1-16:35, 2010.
-
(2010)
ACM Trans. Embedded Comput. Syst
, vol.9
, Issue.3
, pp. 161-1635
-
-
Reddy, R.1
Petrov, P.2
-
19
-
-
0038684781
-
A highly configurable cache architecture for embedded systems
-
Jun
-
C. Zhang, F. Vahid, and W. Najjar, "A highly configurable cache architecture for embedded systems," in Proc. 30th Annu. ISCA, Jun. 2003, pp. 136-146.
-
(2003)
Proc. 30th Annu. ISCA
, pp. 136-146
-
-
Zhang, C.1
Vahid, F.2
Najjar, W.3
-
20
-
-
84948993747
-
Compiler-directed instruction cache leakage optimization
-
W. Zhang, J. S. Hu, V. Degalahal, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin, "Compiler-directed instruction cache leakage optimization," in Proc. MICRO, 2002, pp. 208-218.
-
(2002)
Proc. MICRO
, pp. 208-218
-
-
Zhang, W.1
Hu, J.S.2
Degalahal, V.3
Kandemir, M.4
Vijaykrishnan, N.5
Irwin, M.J.6
-
21
-
-
0033672408
-
Gated- Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. Vijaykumar, "Gated- Vdd: A circuit technique to reduce leakage in deep-submicron cache memories," in Proc. ISLPED, 2000, pp. 90-95.
-
(2000)
Proc. ISLPED
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.5
-
22
-
-
83155173614
-
Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations
-
T. E. Carlson, W. Heirman, and L. Eeckhout, "Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations," in Proc. Int. Conf. High Perform. Comput., Netw., SC, 2011, pp. 1-12.
-
(2011)
Proc. Int. Conf. High Perform. Comput., Netw., SC
, pp. 1-12
-
-
Carlson, T.E.1
Heirman, W.2
Eeckhout, L.3
-
23
-
-
57749186047
-
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems
-
Feb
-
J. Lin, Q. Lu, X. Ding, Z. Zhang, X. Zhang, and P. Sadayappan, "Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems," in Proc. IEEE 14th Int. Symp. HPCA, Feb. 2008, pp. 367-378.
-
(2008)
Proc. IEEE 14th Int. Symp. HPCA
, pp. 367-378
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
Sadayappan, P.6
-
24
-
-
74049158610
-
Enabling software management for multicore caches with a lightweight hardware support
-
J. Lin, Q. Lu, X. Ding, Z. Zhang, X. Zhang, and P. Sadayappan, "Enabling software management for multicore caches with a lightweight hardware support," in Proc. Int. Conf. High Perform. Comput. Netw., Storage Anal., 2009, pp. 1-12.
-
(2009)
Proc. Int. Conf. High Perform. Comput. Netw., Storage Anal
, pp. 1-12
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
Sadayappan, P.6
-
25
-
-
84875584266
-
CASHIER: A cache energy saving technique for QoS systems
-
S. Mittal, Z. Zhang, and Y. Cao, "CASHIER: A cache energy saving technique for QoS systems," in Proc. 26th Int. Conf. VLSI Design, 12th Int. Conf. Embedded Syst., 2013, pp. 43-48.
-
(2013)
Proc. 26th Int. Conf. VLSI Design, 12th Int. Conf. Embedded Syst
, pp. 43-48
-
-
Mittal, S.1
Zhang, Z.2
Cao, Y.3
-
26
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
DOI 10.1109/MICRO.2006.49, 4041865, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
M. K. Qureshi and Y. N. Patt, "Utility-based cache partitioning: A lowoverhead, high-performance, runtime mechanism to partition shared caches," in Proc. MICRO, 2006, pp. 423-432. (Pubitemid 351337015)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
27
-
-
84996735464
-
Power and performance aware reconfigurable cache for CMPs
-
K. Kedzierski, F. J. Cazorla, R. Gioiosa, A. Buyuktosunoglu, and M. Valero, "Power and performance aware reconfigurable cache for CMPs," in Proc. IFMT, 2010, p. 1.
-
(2010)
Proc. IFMT
, pp. 1
-
-
Kedzierski, K.1
Cazorla, F.J.2
Gioiosa, R.3
Buyuktosunoglu, A.4
Valero, M.5
-
28
-
-
0033723131
-
Reconfigurable caches and their application to media processing
-
Jun
-
P. Ranganathan, S. Adve, and N. P. Jouppi, "Reconfigurable caches and their application to media processing," in Proc. 27th ISCA, Jun. 2000, pp. 214-224.
-
(2000)
Proc. 27th ISCA
, pp. 214-224
-
-
Ranganathan, P.1
Adve, S.2
Jouppi, N.P.3
-
29
-
-
84894786814
-
EnCache: Improving cache energy efficiency using a software-controlled profiling cache
-
May
-
S. Mittal and Z. Zhang, "EnCache: Improving cache energy efficiency using a software-controlled profiling cache," in Proc. IEEE Int. Conf. Electro/Inf. Technol., May 2012, pp. 1-8.
-
(2012)
Proc. IEEE Int. Conf. Electro/Inf. Technol
, pp. 1-8
-
-
Mittal, S.1
Zhang, Z.2
-
30
-
-
84949817426
-
Exploiting choice in resizable cache design to optimize deep-submicron processor energydelay
-
Feb
-
S. Yang, B. Falsafi, M. Powell, and T. Vijaykumar, "Exploiting choice in resizable cache design to optimize deep-submicron processor energydelay," in Proc. 8th Int. Symp. HPCA, Feb. 2002, pp. 151-161.
-
(2002)
Proc. 8th Int. Symp. HPCA
, pp. 151-161
-
-
Yang, S.1
Falsafi, B.2
Powell, M.3
Vijaykumar, T.4
-
31
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power," in Proc. 29th Annu. ISCA, 2002, pp. 148-157. (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
32
-
-
0042921418
-
Static energy reduction techniques for microprocessor caches
-
Jun
-
H. Hanson, M. S. Hrishikesh, V. Agarwal, S. W. Keckler, and D. Burger, "Static energy reduction techniques for microprocessor caches," IEEE Trans. Very Large Scale Integr. (VLSI) Technol., vol. 11, no. 3, pp. 303-313, Jun. 2003.
-
(2003)
IEEE Trans. Very Large Scale Integr. (VLSI) Technol
, vol.11
, Issue.3
, pp. 303-313
-
-
Hanson, H.1
Hrishikesh, M.S.2
Agarwal, V.3
Keckler, S.W.4
Burger, D.5
-
33
-
-
3042656888
-
State-preserving vs. Non-state-preserving leakage control in caches
-
Y. Li, D. Parikh, Y. Zhang, K. Sankaranarayanan, M. Stan, and K. Skadron, "State-preserving vs. non-state-preserving leakage control in caches," in Proc. DATE, vol. 1. 2004, pp. 22-27.
-
(2004)
Proc. DATE
, vol.1
, pp. 22-27
-
-
Li, Y.1
Parikh, D.2
Zhang, Y.3
Sankaranarayanan, K.4
Stan, M.5
Skadron, K.6
-
34
-
-
56349146899
-
Energy-aware compilation and hardware design for VLIW embedded systems
-
J. L. Ayala, M. Lopez-Vallejo, D. Atienza, P. Raghavan, F. Catthoor, and D. Verkest, "Energy-aware compilation and hardware design for VLIW embedded systems," Int. J. Embedded Syst., vol. 3, no. 1, pp. 73-82, 2007.
-
(2007)
Int. J. Embedded Syst
, vol.3
, Issue.1
, pp. 73-82
-
-
Ayala, J.L.1
Lopez-Vallejo, M.2
Atienza, D.3
Raghavan, P.4
Catthoor, F.5
Verkest, D.6
-
35
-
-
62349111286
-
Adaptive techniques for leakage power management in L2 cache peripheral circuits
-
Oct
-
H. Homayoun, A. Veidenbaum, and J. Gaudiot, "Adaptive techniques for leakage power management in L2 cache peripheral circuits," in Proc. IEEE ICCD, Oct. 2008, pp. 563-569.
-
(2008)
Proc. IEEE ICCD
, pp. 563-569
-
-
Homayoun, H.1
Veidenbaum, A.2
Gaudiot, J.3
-
36
-
-
84948762407
-
Leakage energy management in cache hierarchies
-
L. Li, I. Kadayif, Y.-F. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and A. Sivasubramaniam, "Leakage energy management in cache hierarchies," in Proc. Int. Conf. PACT, 2002, pp. 131-140.
-
(2002)
Proc. Int. Conf. PACT
, pp. 131-140
-
-
Li, L.1
Kadayif, I.2
Tsai, Y.-F.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.J.6
Sivasubramaniam, A.7
-
37
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
S. Dropsho, A. Buyuktosunoglu, R. Balasubramonian, D. H. Albonesi, S. Dwarkadas, G. Semeraro, G. Magklis, and M. L. Scottt, "Integrating adaptive on-chip storage structures for reduced dynamic power," in Proc. Int. Conf. PACT, 2002, pp. 141-152.
-
(2002)
Proc. Int. Conf. PACT
, pp. 141-152
-
-
Dropsho, S.1
Buyuktosunoglu, A.2
Balasubramonian, R.3
Albonesi, D.H.4
Dwarkadas, S.5
Semeraro, G.6
Magklis, G.7
Scottt, M.L.8
-
38
-
-
77952135865
-
Non-uniform power access in large caches with low-swing wires
-
A. Udipi, N. Muralimanohar, and R. Balasubramonian, "Non-uniform power access in large caches with low-swing wires," in Proc. Int. Conf. HiPC, 2009, pp. 59-68.
-
(2009)
Proc. Int. Conf. HiPC
, pp. 59-68
-
-
Udipi, A.1
Muralimanohar, N.2
Balasubramonian, R.3
-
39
-
-
80052521720
-
Vantage: Scalable and efficient fine-grain cache partitioning
-
D. Sanchez and C. Kozyrakis, "Vantage: Scalable and efficient fine-grain cache partitioning," in Proc. 38th Annu. ISCA, 2011, pp. 57-68.
-
(2011)
Proc. 38th Annu. ISCA
, pp. 57-68
-
-
Sanchez, D.1
Kozyrakis, C.2
-
40
-
-
84976736383
-
Page placement algorithms for large realindexed caches
-
R. Kessler and M. Hill, "Page placement algorithms for large realindexed caches," ACM Trans. Comput. Syst., vol. 10, no. 4, pp. 338-359, 1992.
-
(1992)
ACM Trans. Comput. Syst
, vol.10
, Issue.4
, pp. 338-359
-
-
Kessler, R.1
Hill, M.2
-
41
-
-
85016664946
-
IATAC: A smart predictor to turn-off L2 cache lines
-
J. Abella, A. González, X. Vera, and M. O'Boyle, "IATAC: A smart predictor to turn-off L2 cache lines," ACM Trans. Archit. Code Optim., vol. 2, no. 1, pp. 55-77, 2005.
-
(2005)
ACM Trans. Archit. Code Optim
, vol.2
, Issue.1
, pp. 55-77
-
-
Abella, J.1
González, A.2
Vera, X.3
O'Boyle, M.4
-
42
-
-
0344841297
-
Adaptive mode control: A static-power-efficient cache design
-
H. Zhou, M. Toburen, E. Rotenberg, and T. Conte, "Adaptive mode control: A static-power-efficient cache design," ACM Trans. Embedded Comput. Syst., vol. 2, no. 3, pp. 347-372, 2003.
-
(2003)
ACM Trans. Embedded Comput. Syst
, vol.2
, Issue.3
, pp. 347-372
-
-
Zhou, H.1
Toburen, M.2
Rotenberg, E.3
Conte, T.4
-
43
-
-
0343038876
-
-
Ph.D. dissertation, Dept. Electr. Comput. Eng., Univ. Massachusetts, Amherst, MA, USA
-
T. Puzak, "Cache memory design," Ph.D. dissertation, Dept. Electr. Comput. Eng., Univ. Massachusetts, Amherst, MA, USA, 1985.
-
(1985)
Cache Memory Design
-
-
Puzak, T.1
-
44
-
-
84905090687
-
-
[Online]
-
(2013). CACTI 6.5 [Online]. Available: http://www.hpl.hp.com/research/ cacti/
-
(2013)
CACTI 6.5
-
-
-
45
-
-
1642371317
-
Dynamic partitioning of shared cache memory
-
G. E. Suh, L. Rudolph, and S. Devadas, "Dynamic partitioning of shared cache memory," J. Supercomput., vol. 28, no. 1, pp. 7-26, 2004.
-
(2004)
J. Supercomput
, vol.28
, Issue.1
, pp. 7-26
-
-
Suh, G.E.1
Rudolph, L.2
Devadas, S.3
-
46
-
-
34548119036
-
Power and thermal management in the intel core duo processor
-
A. Naveh, "Power and thermal management in the intel core duo processor," Intel Technology Journal, vol. 10, no. 2, pp. 109-122, 2006.
-
(2006)
Intel Technology Journal
, vol.10
, Issue.2
, pp. 109-122
-
-
Naveh, A.1
-
47
-
-
77953098021
-
Performance evaluation of cache replacement policies for the SPEC CPU2000 benchmark suite
-
H. Al-Zoubi, A. Milenkovic, and M. Milenkovic, "Performance evaluation of cache replacement policies for the SPEC CPU2000 benchmark suite," in Proc. 42nd Annu. Southeast Regional Conf., 2004, pp. 267-272.
-
(2004)
Proc. 42nd Annu. Southeast Regional Conf
, pp. 267-272
-
-
Al-Zoubi, H.1
Milenkovic, A.2
Milenkovic, M.3
-
49
-
-
67650796123
-
RapidMRC: Approximating L2 miss rate curves on commodity systems for online optimizations
-
D. K. Tam, R. Azimi, L. B. Soares, and M. Stumm, "RapidMRC: Approximating L2 miss rate curves on commodity systems for online optimizations," in Proc. 14th Int. Conf. ASPLOS, 2009, pp. 121-132.
-
(2009)
Proc. 14th Int. Conf. ASPLOS
, pp. 121-132
-
-
Tam, D.K.1
Azimi, R.2
Soares, L.B.3
Stumm, M.4
-
50
-
-
33750829443
-
MESA: Reducing cache conflicts by integrating static and run-time methods
-
1620803, ISPASS 2006: IEEE International Symposium on Performance Analysis of Systems and Software, 2006
-
X. Ding, D. S. Nikolopoulos, S. Jiang, and X. Zhang, "MESA: Reducing cache conflicts by integrating static and run-time methods," in Proc. IEEE ISPASS, Mar. 2006, pp. 189-198. (Pubitemid 44711123)
-
(2006)
ISPASS 2006: IEEE International Symposium on Performance Analysis of Systems and Software, 2006
, vol.2006
, pp. 189-198
-
-
Xiaoning, D.1
Nikolopoulos, D.S.2
Song, J.3
Xiaodong, Z.4
-
51
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
M. Powell, A. Agrawal, T. Vijaykumar, B. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective directmapping," in Proc. MICRO, 2001, pp. 54-65. (Pubitemid 34086854)
-
(2001)
Proceedings of the Annual International Symposium on Microarchitecture
, pp. 54-65
-
-
Powell, M.D.1
Agarwal, A.2
Vijaykumar, T.N.3
Falsafi, B.4
Roy, K.5
-
52
-
-
70450284743
-
Decoupled DIMM: Building high-bandwidth memory system using low-speed DRAM devices
-
H. Zheng, J. Lin, Z. Zhang, and Z. Zhu, "Decoupled DIMM: Building high-bandwidth memory system using low-speed DRAM devices," in Proc. ISCA, 2009, pp. 255-266.
-
(2009)
Proc. ISCA
, pp. 255-266
-
-
Zheng, H.1
Lin, J.2
Zhang, Z.3
Zhu, Z.4
|