-
2
-
-
33744497844
-
Accelerating multiprocessor simulation with a memory timestamp record
-
DOI 10.1109/ISPASS.2005.1430560, 1430560, ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
-
K. C. Barr, H. Pan, M. Zhang, and K. Asanovic. Accelerating multiprocessor simulation with a memory timestamp record. In Proceedings of the 2005 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 66-77, Mar. 2005. (Pubitemid 43804304)
-
(2005)
ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
, vol.2005
, pp. 66-77
-
-
Barr, K.C.1
Pan, H.2
Zhang, M.3
Asanovic, K.4
-
3
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
Oct.
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 72-81, Oct. 2008.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li., K.4
-
4
-
-
33846535493
-
The M5 simulator: Modeling networked systems
-
DOI 10.1109/MM.2006.82
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26:52-60, 2006. (Pubitemid 46504889)
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
5
-
-
79951711577
-
Adaptive and speculative slack simulations of CMPs on CMPs
-
IEEE Computer Society
-
J. Chen, L. K. Dabbiru, D. Wong, M. Annavaram, and M. Dubois. Adaptive and speculative slack simulations of CMPs on CMPs. In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 523-534. IEEE Computer Society, 2010.
-
(2010)
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 523-534
-
-
Chen, J.1
Dabbiru, L.K.2
Wong, D.3
Annavaram, M.4
Dubois, M.5
-
6
-
-
47349112481
-
FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators
-
Dec.
-
D. Chiou, D. Sunwoo, J. Kim, N. A. Patil, W. Reinhart, D. E. Johnson, J. Keefe, and H. Angepat. FPGA-accelerated simulation technologies (FAST): Fast, full-system, cycle-accurate simulators. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 249-261, Dec. 2007.
-
(2007)
Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 249-261
-
-
Chiou, D.1
Sunwoo, D.2
Kim, J.3
Patil, N.A.4
Reinhart, W.5
Johnson, D.E.6
Keefe, J.7
Angepat, H.8
-
8
-
-
79951728278
-
A discrete event simulation model for understanding kernel lock thrashing on multi-core architectures
-
Dec.
-
Y. Cui, W. Wu, Y. Wang, X. Guo, Y. Chen, and Y. Shi. A discrete event simulation model for understanding kernel lock thrashing on multi-core architectures. In Proceedings of the 16th International Conference on Parallel and Distributed Systems (ICPADS), pages 1-8, Dec. 2010.
-
(2010)
Proceedings of the 16th International Conference on Parallel and Distributed Systems (ICPADS)
, pp. 1-8
-
-
Cui, Y.1
Wu, W.2
Wang, Y.3
Guo, X.4
Chen, Y.5
Shi, Y.6
-
9
-
-
33744483411
-
Enhancing multiprocessor architecture simulation speed using matched-pair comparison
-
DOI 10.1109/ISPASS.2005.1430562, 1430562, ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
-
M. Ekman and P. Stenström. Enhancing multiprocessor architecture simulation speed using matched-pair comparison. In Proceedings of the 2005 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pages 89-99, Mar. 2005. (Pubitemid 43804306)
-
(2005)
ISPASS 2005 - IEEE International Symposium on Performance Analysis of Systems and Software
, vol.2005
, pp. 89-99
-
-
Ekman, M.1
Stenstrom, P.2
-
10
-
-
67650312346
-
A mechanistic performance model for superscalar out-of-order processors
-
May
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. A mechanistic performance model for superscalar out-of-order processors. ACM Transactions on Computer Systems (TOCS), 27(2):42-53, May 2009.
-
(2009)
ACM Transactions on Computer Systems (TOCS)
, vol.27
, Issue.2
, pp. 42-53
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
11
-
-
79955893580
-
-
April
-
A. Fog. Instruction tables. http//www.agner.org/optimize/instruction- tables.pdf, April 2011.
-
(2011)
Instruction Tables
-
-
Fog, A.1
-
12
-
-
33646744507
-
Fuss, futexes and furwocks: Fast userlevel locking in Linux
-
H. Franke, R. Russell, and M. Kirkwood. Fuss, futexes and furwocks: Fast userlevel locking in Linux. In Proceedings of the 2002 Ottawa Linux Summit, pages 479-495, 2002.
-
(2002)
Proceedings of the 2002 Ottawa Linux Summit
, pp. 479-495
-
-
Franke, H.1
Russell, R.2
Kirkwood, M.3
-
13
-
-
84976676590
-
Parallel discrete event simulation
-
Oct.
-
R. M. Fujimoto. Parallel discrete event simulation. Communications of the ACM, 33(10):30-53, Oct. 1990.
-
(1990)
Communications of the ACM
, vol.33
, Issue.10
, pp. 30-53
-
-
Fujimoto, R.M.1
-
15
-
-
4644359934
-
Transactional memory coherence and consistency
-
June
-
L. Hammond, V. Wong, M. Chen, B. D. Carlstrom, J. D. D. an B. Hertzberg, M. K. Prabhu, H. Wijaya, C. Kozyrakis, and K. Olukotun. Transactional memory coherence and consistency. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 102-113, June 2004.
-
(2004)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 102-113
-
-
Hammond, L.1
Wong, V.2
Chen, M.3
Carlstrom, B.D.4
Hertzberg, B.5
Prabhu, M.K.6
Wijaya, H.7
Kozyrakis, C.8
Olukotun, K.9
-
16
-
-
77949710964
-
CMP $im: A Pin-based on-the-fly multi-core cache simulator
-
June
-
A. Jaleel, R. S. Cohn, C.-K. Luk, and B. Jacob. CMP$im: A Pin-based on-the-fly multi-core cache simulator. In Proceedings of the Fourth Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), co-located with ISCA 2008, pages 28-36, June 2008.
-
(2008)
Proceedings of the Fourth Annual Workshop on Modeling, Benchmarking and Simulation (MoBS), Co-located with ISCA 2008
, pp. 28-36
-
-
Jaleel, A.1
Cohn, R.S.2
Luk, C.-K.3
Jacob, B.4
-
17
-
-
63549149925
-
Adaptive insertion policies for managing shared caches
-
A. Jaleel, W. Hasenplaugh, M. Qureshi, J. Sebot, S. Steely, Jr., and J. Emer. Adaptive insertion policies for managing shared caches. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques (PACT), pages 208-219, 2008.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 208-219
-
-
Jaleel, A.1
Hasenplaugh, W.2
Qureshi, M.3
Sebot, J.4
Steely Jr., S.5
Emer, J.6
-
18
-
-
66749185800
-
CPR: Composable performance regression for scalable multiprocessor models
-
Nov.
-
B. Lee, J. Collins, H. Wang, and D. Brooks. CPR: Composable performance regression for scalable multiprocessor models. In Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 270-281, Nov. 2008.
-
(2008)
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 270-281
-
-
Lee, B.1
Collins, J.2
Wang, H.3
Brooks, D.4
-
19
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
ACM, June
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood. Pin: building customized program analysis tools with dynamic instrumentation. In Proceedings of the 2005 ACM SIGPLAN conference on Programming Language Design and Implementation (PLDI), pages 190-200. ACM, June 2005.
-
(2005)
Proceedings of the 2005 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
20
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset
-
Nov.
-
M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. ACM SIGARCH Computer Architecture News, 33(4):92-99, Nov. 2005.
-
(2005)
ACM SIGARCH Computer Architecture News
, vol.33
, Issue.4
, pp. 92-99
-
-
Martin, M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
22
-
-
77952563226
-
Graphite: A distributed parallel simulator for multicores
-
Jan.
-
J. E. Miller, H. Kasture, G. Kurian, C. Gruenwald III, N. Beckmann, C. Celio, J. Eastep, and A. Agarwal. Graphite: A distributed parallel simulator for multicores. In Proceedings of the 16th International Symposium on High Performance Computer Architecture (HPCA), pages 1-12, Jan. 2010.
-
(2010)
Proceedings of the 16th International Symposium on High Performance Computer Architecture (HPCA)
, pp. 1-12
-
-
Miller, J.E.1
Kasture, H.2
Kurian, G.3
Gruenwald III, C.4
Beckmann, N.5
Celio, C.6
Eastep, J.7
Agarwal, A.8
-
23
-
-
33748873605
-
LogTM: Log-based transactional memory
-
Feb.
-
K. E. Moore, J. Bobba, M. J. Moravan, M. D. Hill, and D. A. Wood. LogTM: Log-based transactional memory. In Proceedings of the 13th International Symposium on High Performance ComputerArchitecture (HPCA), pages 254-265, Feb. 2006.
-
(2006)
Proceedings of the 13th International Symposium on High Performance ComputerArchitecture (HPCA)
, pp. 254-265
-
-
Moore, K.E.1
Bobba, J.2
Moravan, M.J.3
Hill, M.D.4
Wood, D.A.5
-
24
-
-
79955891472
-
HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing
-
Feb.
-
M. Pellauer, M. Adler, M. Kinsy, A. Parashar, and J. Emer. HAsim: FPGA-based high-detail multicore simulation using time-division multiplexing. In Proceedings of the 17th International Symposium on High Performance Computer Architecture (HPCA), pages 406-417, Feb. 2011.
-
(2011)
Proceedings of the 17th International Symposium on High Performance Computer Architecture (HPCA)
, pp. 406-417
-
-
Pellauer, M.1
Adler, M.2
Kinsy, M.3
Parashar, A.4
Emer, J.5
-
25
-
-
84978721276
-
The Wisconsin Wind Tunnel: Virtual prototyping of parallel computers
-
May
-
S. K. Reinhardt, M. D. Hill, J. R. Larus, A. R. Lebeck, J. C. Lewis, and D. A. Wood. The Wisconsin Wind Tunnel: Virtual prototyping of parallel computers. In Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pages 48-60, May 1993.
-
(1993)
Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems
, pp. 48-60
-
-
Reinhardt, S.K.1
Hill, M.D.2
Larus, J.R.3
Lebeck, A.R.4
Lewis, J.C.5
Wood, D.A.6
-
26
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
DOI 10.1145/635508.605403
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 45-57, Oct. 2002. (Pubitemid 44892222)
-
(2002)
Operating Systems Review (ACM)
, vol.36
, Issue.5
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
27
-
-
0031593993
-
Analytic evaluation of shared-memory systems with ILP processors
-
June
-
D. J. Sorin, V. S. Pai, S. V. Adve, M. K. Vernon, and D. A. Wood. Analytic evaluation of shared-memory systems with ILP processors. In Proceedings of the 25th Annual International Symposium on Computer Architecture (ISCA), pages 380-391, June 1998.
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture (ISCA)
, pp. 380-391
-
-
Sorin, D.J.1
Pai, V.S.2
Adve, S.V.3
Vernon, M.K.4
Wood, D.A.5
-
29
-
-
34548253874
-
RAMP: Research accelerator for multiple processors
-
DOI 10.1109/MM.2007.39
-
J. Wawrzynek, D. Patterson, M. Oskin, S.-L. Lu, C. Kozyrakis, J. C. Hoe, D. Chiou, and K. Asanovic. RAMP: Research accelerator for multiple processors. IEEE Micro, 27(2):46-57, Mar. 2007. (Pubitemid 47322500)
-
(2007)
IEEE Micro
, vol.27
, Issue.2
, pp. 46-57
-
-
Wawrzynek, J.1
Patterson, D.2
Oskin, M.3
Lu, S.-L.4
Kozyrakis, C.5
Hoe, J.C.6
Chiou, D.7
Asanovic, K.8
-
30
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
June
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd International Symposium on Computer Architecture (ISCA), pages 24-36, June 1995.
-
(1995)
Proceedings of the 22nd International Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
31
-
-
0038346244
-
SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling
-
June
-
R. E. Wunderlich, T. F. Wenisch, B. Falsafi, and J. C. Hoe. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA), pages 84-95, June 2003.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA)
, pp. 84-95
-
-
Wunderlich, R.E.1
Wenisch, T.F.2
Falsafi, B.3
Hoe, J.C.4
-
32
-
-
36949014308
-
PTLsim: A cycle accurate full system x86-64 microarchitectural simulator
-
DOI 10.1109/ISPASS.2007.363733, 4211019, ISPASS 2007: IEEE International Symposium on Performance Analysis of Systems and Software
-
M. Yourst. PTLsim: A cycle accurate full system x86-64 microarchitectural simulator. In Proceedings of the 2007 IEEE International Symmposium on Performance Analysis of Systems and Software (ISPASS), pages 23-34. Apr. 2007. (Pubitemid 350237483)
-
(2007)
ISPASS 2007: IEEE International Symposium on Performance Analysis of Systems and Software
, pp. 23-34
-
-
Yourst, M.T.1
|