-
1
-
-
0344982159
-
On reducing register file pressure and energy in multiple-banked register files
-
San Jose, USA, pp
-
Abella, J. and Gonzalez, A. (2003) 'On reducing register file pressure and energy in multiple-banked register files', Proceedings of ICCD San Jose, USA, pp.14-20.
-
(2003)
Proceedings of ICCD
, pp. 14-20
-
-
Abella, J.1
Gonzalez, A.2
-
2
-
-
84949976548
-
FDRA: A software-pipelining algorithm for embedded VLIW processors
-
Madrid, Spain, pp
-
Akturan, C. and Jacome, M.F. (2000) 'FDRA: A software-pipelining algorithm for embedded VLIW processors', Proceedings of ISSS, Madrid, Spain, pp.34-40.
-
(2000)
Proceedings of ISSS
, pp. 34-40
-
-
Akturan, C.1
Jacome, M.F.2
-
3
-
-
0035208942
-
Caliber: A software pipelining algorithm for clustered embedded VLIW processors
-
San Jose, USA, pp
-
Akturan, C. and Jacome, M.F. (2001) 'Caliber: A software pipelining algorithm for clustered embedded VLIW processors', Proceedings of ICCAD, San Jose, USA, pp.112-118.
-
(2001)
Proceedings of ICCAD
, pp. 112-118
-
-
Akturan, C.1
Jacome, M.F.2
-
5
-
-
0042635850
-
Automatic application-specific instruction-set extensions under microarchitectural constraints
-
Anaheim, USA, pp
-
Atasu, K., Pozzi, L. and Ienne, P. (2003) 'Automatic application-specific instruction-set extensions under microarchitectural constraints', Proceedings of Design Automation Conference (DAC), Anaheim, USA, pp.256-261.
-
(2003)
Proceedings of Design Automation Conference (DAC)
, pp. 256-261
-
-
Atasu, K.1
Pozzi, L.2
Ienne, P.3
-
6
-
-
33750074255
-
Improving register file banking with a power-aware unroller
-
Pisa, Italy, pp
-
Ayala, J.L. and López-Vallejo, M. (2004) 'Improving register file banking with a power-aware unroller', Proceedings of PARC, Pisa, Italy, pp.15-20.
-
(2004)
Proceedings of PARC
, pp. 15-20
-
-
Ayala, J.L.1
López-Vallejo, M.2
-
7
-
-
33750040959
-
Energy-efficient register renaming in high-performance processors
-
San Diego, USA, pp
-
Ayala, J.L., López-Vallejo, M. and Veidenbaum, A. (2003) 'Energy-efficient register renaming in high-performance processors', Proceedings of WASP, San Diego, USA, pp.56-61.
-
(2003)
Proceedings of WASP
, pp. 56-61
-
-
Ayala, J.L.1
López-Vallejo, M.2
Veidenbaum, A.3
-
9
-
-
56349130593
-
-
Benini, L., Bruni, D., Chinosi, M., Silvano, C., Zaccaria, V. and Zafalon, R. (2001) 'A power modeling and estimation framework for VLIW-based embedded systems', Proceedings of PATMOS, Yverdon Les Bains, Switzerland, pp.2.1.1-2.1.10.
-
Benini, L., Bruni, D., Chinosi, M., Silvano, C., Zaccaria, V. and Zafalon, R. (2001) 'A power modeling and estimation framework for VLIW-based embedded systems', Proceedings of PATMOS, Yverdon Les Bains, Switzerland, pp.2.1.1-2.1.10.
-
-
-
-
10
-
-
4444275354
-
Introduction of local memory elements in instruction set extensions
-
San Diego, USA, pp
-
Biswas, P., Choudhary, V., Atasu, K., Pozzi, L., Ienne, P. and Dutt, N. (2004) 'Introduction of local memory elements in instruction set extensions', Proceedings of DAC, San Diego, USA, pp.729-734.
-
(2004)
Proceedings of DAC
, pp. 729-734
-
-
Biswas, P.1
Choudhary, V.2
Atasu, K.3
Pozzi, L.4
Ienne, P.5
Dutt, N.6
-
11
-
-
56349099179
-
Early-stage definition of LPX: A low power issue-execute processor
-
Cambridge, MA, pp
-
Bose, P., Brooks, D., Uktosuno, A., Cook, G., Das, K., Emma, P., Gschwind, M., Jacobson, H., Karkhanis, T., Kudva, P., Schuster, S., Smith, J., Srinivasan, V., Zyuban, V., Albonesi, D. and Dwarkadas, S. (2002) 'Early-stage definition of LPX: A low power issue-execute processor', Proc. PACS'02, held in conjunction with HPCA, Cambridge, MA, pp.257-268.
-
(2002)
Proc. PACS'02, held in conjunction with HPCA
, pp. 257-268
-
-
Bose, P.1
Brooks, D.2
Uktosuno, A.3
Cook, G.4
Das, K.5
Emma, P.6
Gschwind, M.7
Jacobson, H.8
Karkhanis, T.9
Kudva, P.10
Schuster, S.11
Smith, J.12
Srinivasan, V.13
Zyuban, V.14
Albonesi, D.15
Dwarkadas, S.16
-
12
-
-
0033670632
-
Cycle-accurate energy consumption measurement and analysis: Case study of arm7tdmi
-
Rapallo, Italy, ACM Press, NY, USA, pp
-
Chang, N., Kim, K. and Lee, H.G. (2000) 'Cycle-accurate energy consumption measurement and analysis: Case study of arm7tdmi', Proceedings of the 2000 International Symposium on Low Power Electronics and Design, Rapallo, Italy, ACM Press, NY, USA, pp.185-190.
-
(2000)
Proceedings of the 2000 International Symposium on Low Power Electronics and Design
, pp. 185-190
-
-
Chang, N.1
Kim, K.2
Lee, H.G.3
-
13
-
-
0033716803
-
Multiple-banked register file architectures
-
Vancouver, Canada, pp
-
Cruz, J.L., Gonzalez, A. and Valero, M. (2000) 'Multiple-banked register file architectures', Proceedings of ISCA, Vancouver, Canada, pp.316-325.
-
(2000)
Proceedings of ISCA
, pp. 316-325
-
-
Cruz, J.L.1
Gonzalez, A.2
Valero, M.3
-
14
-
-
0033703885
-
Lx: A technology platform for customizable VLIW embedded processing
-
Vancouver, Canada, pp
-
Faraboschi, P., Brown, G., Fisher, J.A., Desoli, G. and Homewood, F. (2000) 'Lx: A technology platform for customizable VLIW embedded processing', Proceedings of ISCA, Vancouver, Canada, pp.203-213.
-
(2000)
Proceedings of ISCA
, pp. 203-213
-
-
Faraboschi, P.1
Brown, G.2
Fisher, J.A.3
Desoli, G.4
Homewood, F.5
-
15
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
Alaska, USA, pp
-
Flautner, K., Kim, N.S., Martin, S., Blaauw, D. and Mudge, T.N. (2002) 'Drowsy caches: Simple techniques for reducing leakage power', Proceedings of ISCA, Alaska, USA, pp.148-157.
-
(2002)
Proceedings of ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.N.5
-
16
-
-
4444241841
-
-
Kluwer Academic Publishers, P.O. Box 322, 3300 AH Dordrecht, The Netherlands
-
Glokler, T. and Meyr, H. (2002) Design of Energy-efficient Application-specific Instruction Set Processors, Kluwer Academic Publishers, P.O. Box 322, 3300 AH Dordrecht, The Netherlands.
-
(2002)
Design of Energy-efficient Application-specific Instruction Set Processors
-
-
Glokler, T.1
Meyr, H.2
-
17
-
-
0033884908
-
Xtensa: A configurable and extensible processor
-
Gonzalez, R. (2002) 'Xtensa: A configurable and extensible processor', IEEE Micro, Vol. 20, No. 2, pp.60-70.
-
(2002)
IEEE Micro
, vol.20
, Issue.2
, pp. 60-70
-
-
Gonzalez, R.1
-
18
-
-
3042601599
-
Access pattern based local memory customization for low power embedded systems
-
Piscataway, NJ, USA, pp
-
Grun, P., Dutt, N. and Nicolau, A. (2001) 'Access pattern based local memory customization for low power embedded systems', Proceedings of DATE 2001, Piscataway, NJ, USA, pp.778-784.
-
(2001)
Proceedings of DATE 2001
, pp. 778-784
-
-
Grun, P.1
Dutt, N.2
Nicolau, A.3
-
19
-
-
0035183730
-
Static energy reduction techniques for microprocessor caches
-
Austin, USA, pp
-
Hanson, H., Hrishikesh, M., Agarwal, V., Keckler, S.W. and Burger, D. (2001) 'Static energy reduction techniques for microprocessor caches', International Conference on Computer Design, Austin, USA, pp.303-313.
-
(2001)
International Conference on Computer Design
, pp. 303-313
-
-
Hanson, H.1
Hrishikesh, M.2
Agarwal, V.3
Keckler, S.W.4
Burger, D.5
-
20
-
-
24944475510
-
Generalized loop unrolling: A method for program speed-up
-
Richardson, USA, pp
-
Huang, J. and Leng, T. (1999) 'Generalized loop unrolling: A method for program speed-up', Proceedings of the ASSET, Richardson, USA, pp.244-248.
-
(1999)
Proceedings of the ASSET
, pp. 244-248
-
-
Huang, J.1
Leng, T.2
-
21
-
-
0346750535
-
Leakage current: Moore's law meets static power'
-
Kim, N.S., Austin, T., Blaauw, D., Mudge, T., Flautner, K., Hu, J., Irwin, M., Kandemir, M. and Vijaykrishnan, N. (2003) 'Leakage current: Moore's law meets static power', Computer, Vol. 36, No. 12, pp.65-77.
-
(2003)
Computer
, vol.36
, Issue.12
, pp. 65-77
-
-
Kim, N.S.1
Austin, T.2
Blaauw, D.3
Mudge, T.4
Flautner, K.5
Hu, J.6
Irwin, M.7
Kandemir, M.8
Vijaykrishnan, N.9
-
22
-
-
0142258189
-
Application-directed voltage scaling
-
Koen, J.P., Langendoen, K. and Sips, H.J. (2003) 'Application-directed voltage scaling', IEEE Transactions on Very Large Scale Integration (TVLSI), Vol. 11, No. 5, pp.812-826.
-
(2003)
IEEE Transactions on Very Large Scale Integration (TVLSI)
, vol.11
, Issue.5
, pp. 812-826
-
-
Koen, J.P.1
Langendoen, K.2
Sips, H.J.3
-
23
-
-
24944569417
-
Power breakdown analysis for a heterogeneous NoC platform running a video application
-
Samos, Greece, pp
-
Lambrechts, A., Raghavan, P., Leroy, A., Talavera, G., Vander, T., Jayapala, M., Catthoor, F., Verkest, D., Deconinck, G., Corporaal, H., Robert, F. and Carrabina, J. (2005) 'Power breakdown analysis for a heterogeneous NoC platform running a video application', Proceedings of ASAP, Samos, Greece, pp.179-184.
-
(2005)
Proceedings of ASAP
, pp. 179-184
-
-
Lambrechts, A.1
Raghavan, P.2
Leroy, A.3
Talavera, G.4
Vander, T.5
Jayapala, M.6
Catthoor, F.7
Verkest, D.8
Deconinck, G.9
Corporaal, H.10
Robert, F.11
Carrabina, J.12
-
24
-
-
0031339427
-
Mediabench: A tool for evaluating and synthesizing multimedia and communications systems
-
San Francisco, USA, pp
-
Lee, C., Potkonjak, M. and Mangione-Smith, W.H. (1997) 'Mediabench: A tool for evaluating and synthesizing multimedia and communications systems', Proceedings of International Symposium on Microarchitecture (MICRO), San Francisco, USA, pp.330-335.
-
(1997)
Proceedings of International Symposium on Microarchitecture (MICRO)
, pp. 330-335
-
-
Lee, C.1
Potkonjak, M.2
Mangione-Smith, W.H.3
-
25
-
-
0036059441
-
Automatic data migration for reducing energy consumption in multi-bank memory systems
-
New York, USA, pp
-
Luz, V.D.L., Kandemir, M. and Kolcu, I. (2002) 'Automatic data migration for reducing energy consumption in multi-bank memory systems', Proceedings of DAC, New York, USA, pp.213-218.
-
(2002)
Proceedings of DAC
, pp. 213-218
-
-
Luz, V.D.L.1
Kandemir, M.2
Kolcu, I.3
-
26
-
-
46449127926
-
-
Op de Beeck, P., Barat, F., Jayapala, M. and Lauwereins, R. (2001) 'Crisp: A template for reconfigurable instruction set processors', FPL, Belfast, Ireland, pp.296-305.
-
Op de Beeck, P., Barat, F., Jayapala, M. and Lauwereins, R. (2001) 'Crisp: A template for reconfigurable instruction set processors', FPL, Belfast, Ireland, pp.296-305.
-
-
-
-
27
-
-
33746967016
-
Data and memory optimizations for embedded systems
-
Panda, P.R., Catthoor, F., Dutt, N.D., Danckaert, K., Brockmeyer, E. and Kulkarni, C. (2001) 'Data and memory optimizations for embedded systems', ACM Transactions on Design Automation for Embedded Systems (TODAES), Vol. 6, No. 2, pp.142-206.
-
(2001)
ACM Transactions on Design Automation for Embedded Systems (TODAES)
, vol.6
, Issue.2
, pp. 142-206
-
-
Panda, P.R.1
Catthoor, F.2
Dutt, N.D.3
Danckaert, K.4
Brockmeyer, E.5
Kulkarni, C.6
-
28
-
-
41349090027
-
Reducing register ports for higher speed and lower energy
-
Istanbul, Turkey, pp
-
Park, I., Powell, M.D. and Vijaykumar, T.N. (2002) 'Reducing register ports for higher speed and lower energy', Proceedings of MICRO, Istanbul, Turkey, pp.171-182.
-
(2002)
Proceedings of MICRO
, pp. 171-182
-
-
Park, I.1
Powell, M.D.2
Vijaykumar, T.N.3
-
29
-
-
56349123077
-
-
Philips Electronics (2004) Philips Nexperia - Highly Integrated Programmable System-on-chip (MPSoC), http://www. semiconductors.philips.com.
-
Philips Electronics (2004) Philips Nexperia - Highly Integrated Programmable System-on-chip (MPSoC), http://www. semiconductors.philips.com.
-
-
-
-
31
-
-
84941160707
-
Empirical power model for register files
-
Barcelona, Spain
-
Raghavan, P., Lambrechts, A., Jayapala, M., Catthoor, F. and Verkest, D. (2005) 'Empirical power model for register files', Workshop on Media and Streaming Processors (with MICRO-38), Barcelona, Spain.
-
(2005)
Workshop on Media and Streaming Processors (with MICRO-38)
-
-
Raghavan, P.1
Lambrechts, A.2
Jayapala, M.3
Catthoor, F.4
Verkest, D.5
-
32
-
-
2842603594
-
Exploiting dual data-memory banks in digital signal processors
-
ACM Press, New York, NY, USA, pp
-
Saghir, M.A.R., Chow, P. and Lee, C.G. (1996) 'Exploiting dual data-memory banks in digital signal processors', Proceedings of ASPLOS-VII, ACM Press, New York, NY, USA, pp.234-243.
-
(1996)
Proceedings of ASPLOS-VII
, pp. 234-243
-
-
Saghir, M.A.R.1
Chow, P.2
Lee, C.G.3
-
33
-
-
84941217571
-
The effect of compiler optimizations on Pentium 4 power consumption
-
Anaheim, USA, pp
-
Seng, J.S. and Tullsen, D.M. (2003) 'The effect of compiler optimizations on Pentium 4 power consumption', Proceedings of INTERACT, Anaheim, USA, pp.51-56.
-
(2003)
Proceedings of INTERACT
, pp. 51-56
-
-
Seng, J.S.1
Tullsen, D.M.2
-
34
-
-
33750045281
-
Reducing register ports for higher speed and lower energy
-
Istanbul, Turkey, pp
-
Seznec, A., Toullec, E. and Rochecouste, O. (2002) 'Reducing register ports for higher speed and lower energy', Proceedings of MICRO, Istanbul, Turkey, pp.383-394.
-
(2002)
Proceedings of MICRO
, pp. 383-394
-
-
Seznec, A.1
Toullec, E.2
Rochecouste, O.3
-
35
-
-
56349156031
-
-
ST Microelectronics (2004) ST Nomadik Multimedia Processor, http://www.st.com.
-
ST Microelectronics (2004) ST Nomadik Multimedia Processor, http://www.st.com.
-
-
-
-
36
-
-
56349088311
-
-
Texas Instruments (2001) TMS320C64x Programmer's Guide, Texas Instruments, Canada.
-
Texas Instruments (2001) TMS320C64x Programmer's Guide, Texas Instruments, Canada.
-
-
-
-
37
-
-
56349092363
-
-
Texas Instruments (2004) TI's OMAP Platform, http://focus.ti.com/ omap/docs/.
-
Texas Instruments (2004) TI's OMAP Platform, http://focus.ti.com/ omap/docs/.
-
-
-
-
39
-
-
0033700756
-
Energy-driven integrated hardware-software optimizations using SimplePower
-
Vancouver, Canada, pp
-
Vijaykrishnan, N., Kandemir, M., Irwin, M., Kim, H. and Ye, W. (2000) 'Energy-driven integrated hardware-software optimizations using SimplePower', Proceedings of ISCA, Vancouver, Canada, pp.95-106.
-
(2000)
Proceedings of ISCA
, pp. 95-106
-
-
Vijaykrishnan, N.1
Kandemir, M.2
Irwin, M.3
Kim, H.4
Ye, W.5
-
40
-
-
0037225561
-
Power evaluation of a handheld computer
-
Viredaz, M. and Wallacha, D. (2003) 'Power evaluation of a handheld computer', IEEE Micro, Vol. 23, No. 1, pp.66-74.
-
(2003)
IEEE Micro
, vol.23
, Issue.1
, pp. 66-74
-
-
Viredaz, M.1
Wallacha, D.2
-
41
-
-
4444341794
-
The future of multiprocessor systems-on-chips
-
San Diego, USA, pp
-
Wolf, W. (2004) 'The future of multiprocessor systems-on-chips', Proceedings DAC, San Diego, USA, pp.681-685.
-
(2004)
Proceedings DAC
, pp. 681-685
-
-
Wolf, W.1
-
42
-
-
4444384247
-
Characterizing embedded applications for instruction set extensible processors
-
San Diego, USA, pp
-
Yu, P. and Mitra, T. (2004a) 'Characterizing embedded applications for instruction set extensible processors', Proceedings of DAC, San Diego, USA, pp.723-728.
-
(2004)
Proceedings of DAC
, pp. 723-728
-
-
Yu, P.1
Mitra, T.2
-
43
-
-
24944546345
-
Scalable custom instructions identification for instruction-set extensible processors
-
New York, NY, USA, pp
-
Yu, P. and Mitra, T. (2004b) 'Scalable custom instructions identification for instruction-set extensible processors', Proceedings of CASES, New York, NY, USA, pp.69-78.
-
(2004)
Proceedings of CASES
, pp. 69-78
-
-
Yu, P.1
Mitra, T.2
-
44
-
-
0031624293
-
The energy complexity of register files
-
Monterey, USA, pp
-
Zyuban, V.V. and Kogge, P.M. (1998) 'The energy complexity of register files', Proceedings of ISLPED, Monterey, USA, pp.305-310.
-
(1998)
Proceedings of ISLPED
, pp. 305-310
-
-
Zyuban, V.V.1
Kogge, P.M.2
-
45
-
-
0035273395
-
Inherently lower-power high-performance superscalar architectures
-
Zyuban, V.V. and Kogge, P.M. (2001) 'Inherently lower-power high-performance superscalar architectures', IEEE Transactions on Computers, Vol. 50, No. 3, pp.268-285.
-
(2001)
IEEE Transactions on Computers
, vol.50
, Issue.3
, pp. 268-285
-
-
Zyuban, V.V.1
Kogge, P.M.2
|