메뉴 건너뛰기




Volumn , Issue , 2009, Pages 1-192

Test pattern generation using boolean proof engines

Author keywords

[No Author keywords available]

Indexed keywords


EID: 84891385074     PISSN: None     EISSN: None     Source Type: Book    
DOI: 10.1007/978-90-481-2360-5     Document Type: Book
Times cited : (37)

References (112)
  • 4
    • 84938738286 scopus 로고
    • A deductive method for simulating faults in logic circuits
    • D. B. Armstrong. A deductive method for simulating faults in logic circuits. IEEE Transactions on Computers, 21(5):464-471, 1972.
    • (1972) IEEE Transactions on Computers , vol.21 , Issue.5 , pp. 464-471
    • Armstrong, D.B.1
  • 8
    • 84891413835 scopus 로고    scopus 로고
    • Boolean Satisfiability Research Group at Princeton University, 15 Dec
    • @8. Boolean Satisfiability Research Group at Princeton University. zChaff, 15 Dec. 2008. http://www.princeton. edu/~chaff/zchaff.html.
    • (2008)
  • 9
    • 0020832565 scopus 로고
    • Redundancy and don't cares in logic synthesis
    • D. Brand. Redundancy and don't cares in logic synthesis. IEEE Transactions on Computers, 32(10):947-952, 1983.
    • (1983) IEEE Transactions on Computers , vol.32 , Issue.10 , pp. 947-952
    • Brand, D.1
  • 16
    • 33646903261 scopus 로고    scopus 로고
    • Integration of learning techniques into incremental satisfiability for efficient path-delay fault test generation
    • K. Chandrasekar and M. S. Hsiao. Integration of learning techniques into incremental satisfiability for efficient path-delay fault test generation. In Design, Automation and Test in Europe (DATE), pages 1002-1007, 2005.
    • (2005) Design, Automation and Test in Europe (DATE) , pp. 1002-1007
    • Chandrasekar, K.1    Hsiao, M.S.2
  • 17
    • 0029697459 scopus 로고    scopus 로고
    • A satisfiability-based test generator for path delay faults in combinational circuits
    • C. Chen and S. K. Gupta. A satisfiability-based test generator for path delay faults in combinational circuits. In Design Automation Conference (DAC), pages 209-214, 1996.
    • (1996) Design Automation Conference (DAC) , pp. 209-214
    • Chen, C.1    Gupta, S.K.2
  • 19
  • 22
    • 84881072062 scopus 로고
    • A computing procedure for quantification theory
    • M. Davis and H. Putnam. A computing procedure for quantification theory. Journal of the ACM, 7:506-521, 1960.
    • (1960) Journal of the ACM , vol.7 , pp. 506-521
    • Davis, M.1    Putnam, H.2
  • 23
    • 46649112518 scopus 로고    scopus 로고
    • Combinational equivalence checking using incremental SAT solving, output ordering, and resets
    • S. Disch and C. Scholl. Combinational equivalence checking using incremental SAT solving, output ordering, and resets. In ASP Design Automation Conference (ASPDAC), pages 938-943, 2007.
    • (2007) ASP Design Automation Conference (ASPDAC) , pp. 938-943
    • Disch, S.1    Scholl, C.2
  • 24
    • 0028714176 scopus 로고
    • BiTeS: A BDD based test pattern generator for strong robust path delay faults
    • R. Drechsler. BiTeS: A BDD based test pattern generator for strong robust path delay faults. In European Design Automation Conference (EuroDAC), pages 322-327, 1994.
    • (1994) European Design Automation Conference (EuroDAC) , pp. 322-327
    • Drechsler, R.1
  • 30
    • 48049098407 scopus 로고    scopus 로고
    • Improving test pattern compactness in SAT-based ATPG
    • S. Eggersglüß and R. Drechsler. Improving test pattern compactness in SAT-based ATPG. In Asian Test Symposium (ATS), pages 445-450, 2007.
    • (2007) Asian Test Symposium (ATS) , pp. 445-450
    • Eggersglüß, S.1    Drechsler, R.2
  • 38
    • 0344490799 scopus 로고
    • Robust and nonrobust path delay fault simulation by parallel processing of patterns
    • K. Fink, F. Fuchs, and M. H. Schulz. Robust and nonrobust path delay fault simulation by parallel processing of patterns. IEEE Transactions on Computers, 41(12):1527-1536, 1992.
    • (1992) IEEE Transactions on Computers , vol.41 , Issue.12 , pp. 1527-1536
    • Fink, K.1    Fuchs, F.2    Schulz, M.H.3
  • 39
  • 40
    • 33646943512 scopus 로고    scopus 로고
    • Considering circuit observability don't cares in CNF satisfiability
    • Z. Fu, Y. Yu, and S. Malik. Considering circuit observability don't cares in CNF satisfiability. In Design, Automation and Test in Europe (DATE), pages 1108-1113, 2005.
    • (2005) Design, Automation and Test in Europe (DATE) , pp. 1108-1113
    • Fu, Z.1    Yu, Y.2    Malik, S.3
  • 43
    • 0002056579 scopus 로고
    • Fast test pattern generation for all path delay faults considering various tset classes
    • K. Fuchs, H. C. Wittmann, and K. J. Antreich. Fast test pattern generation for all path delay faults considering various tset classes. In European Test Conference (ETC), pages 89-98, 1993.
    • (1993) European Test Conference (ETC) , pp. 89-98
    • Fuchs, K.1    Wittmann, H.C.2    Antreich, K.J.3
  • 44
    • 0020923381 scopus 로고
    • On the acceleration of test generation algorithms
    • H. Fujiwara and T. Shimono. On the acceleration of test generation algorithms. IEEE Transactions on Computers, 32:1137-1144, 1983.
    • (1983) IEEE Transactions on Computers , vol.32 , pp. 1137-1144
    • Fujiwara, H.1    Shimono, T.2
  • 45
    • 0036045483 scopus 로고    scopus 로고
    • Combining strengths of circuit-based and CNF-based algorithms for a highperformance SAT solver
    • M. K. Ganai, L. Zhang, P. Ashar, A. Gupta, and S. Malik. Combining strengths of circuit-based and CNF-based algorithms for a highperformance SAT solver. In Design Automation Conference (DAC), pages 747-750, 2002.
    • (2002) Design Automation Conference (DAC) , pp. 747-750
    • Ganai, M.K.1    Zhang, L.2    Ashar, P.3    Gupta, A.4    Malik, S.5
  • 46
    • 0029516849 scopus 로고
    • Classification and test generation for path-delay faults using single stuck-fault tests
    • M. A. Gharaybeh, M. L. Bushnell, and V. D. Agrawal. Classification and test generation for path-delay faults using single stuck-fault tests. In International Test Conference (ITC), pages 139-147, 1995.
    • (1995) International Test Conference (ITC) , pp. 139-147
    • Gharaybeh, M.A.1    Bushnell, M.L.2    Agrawal, V.D.3
  • 48
    • 0019543877 scopus 로고
    • An implicit enumeration algorithm to generate tests for combinational logic
    • P. Goel. An implicit enumeration algorithm to generate tests for combinational logic. IEEE Transactions on Computers, 30:215-222, 1981.
    • (1981) IEEE Transactions on Computers , vol.30 , pp. 215-222
    • Goel, P.1
  • 51
    • 85048855760 scopus 로고
    • SCOAP: Sandia controllability/observability analysis program
    • L. H. Goldstein and E. L. Thigpen. SCOAP: Sandia controllability/ observability analysis program. In Design Automation Conference (DAC), pages 190-196, 1980.
    • (1980) Design Automation Conference (DAC) , pp. 190-196
    • Goldstein, L.H.1    Thigpen, E.L.2
  • 53
    • 0034841433 scopus 로고    scopus 로고
    • Dynamic detection and removal of inactive clauses in SAT with application in image computation
    • A. Gupta, A. Gupta, Z. Yang, and P. Ashar. Dynamic detection and removal of inactive clauses in SAT with application in image computation. In Design Automation Conference (DAC), pages 536-541, 2001.
    • (2001) Design Automation Conference (DAC) , pp. 536-541
    • Gupta, A.1    Gupta, A.2    Yang, Z.3    Ashar, P.4
  • 54
    • 18144399342 scopus 로고    scopus 로고
    • ALAPTF: A new transition fault model and the ATPG algorithm
    • P. Gupta and M. Hsiao. ALAPTF: A new transition fault model and the ATPG algorithm. In International Test Conference (ITC), pages 1053-1060, 2004.
    • (2004) International Test Conference (ITC) , pp. 1053-1060
    • Gupta, P.1    Hsiao, M.2
  • 55
    • 0000678060 scopus 로고
    • Solving the incremental satisfiability problem
    • J. N. Hooker. Solving the incremental satisfiability problem. Journal of Logic Programming, 15(1-2):177-186, 1993.
    • (1993) Journal of Logic Programming , vol.15 , Issue.1-2 , pp. 177-186
    • Hooker, J.N.1
  • 62
    • 0018924690 scopus 로고
    • Undetectability of bridging faults and validity of stuck-at fault test sets
    • K. L. Kodandapani and D. K. Pradhan. Undetectability of bridging faults and validity of stuck-at fault test sets. IEEE Transactions on Computers, C-29(1):55-59, 1980.
    • (1980) IEEE Transactions on Computers , vol.C-29 , Issue.1 , pp. 55-59
    • Kodandapani, K.L.1    Pradhan, D.K.2
  • 65
    • 0027839536 scopus 로고
    • HANNIBAL: An efficient tool for logic verification based on recursive learning
    • W. Kunz. HANNIBAL: An efficient tool for logic verification based on recursive learning. In International Conference on Computer Aided Design (ICCAD), pages 538-543, 1993.
    • (1993) International Conference on Computer Aided Design (ICCAD) , pp. 538-543
    • Kunz, W.1
  • 66
    • 0028501364 scopus 로고
    • Recursive learning: A new implication technique for efficient solutions of CAD problems: Test, verification and optimization
    • W. Kunz and D. K. Pradhan. Recursive learning: A new implication technique for efficient solutions of CAD problems: Test, verification and optimization. IEEE Transactions on Computer Aided Design of Circuits and Systems, 13(9):1143-1158, 1994.
    • (1994) IEEE Transactions on Computer Aided Design of Circuits and Systems , vol.13 , Issue.9 , pp. 1143-1158
    • Kunz, W.1    Pradhan, D.K.2
  • 68
    • 0026970583 scopus 로고
    • HOPE: An efficient parallel fault simulator for synchronous sequential circuits
    • H. K. Lee and D. S. Ha. HOPE: An efficient parallel fault simulator for synchronous sequential circuits. In Design Automation Conference (DAC), pages 336-340, 1992.
    • (1992) Design Automation Conference (DAC) , pp. 336-340
    • Lee, H.K.1    Ha, D.S.2
  • 69
    • 0005665884 scopus 로고
    • Atalanta: An efficient ATPG for combinational circuits
    • Department of Electrical Engineering, Virginia Polytechnic Institute and State University
    • H. K. Lee and D. S. Ha. Atalanta: An efficient ATPG for combinational circuits. Technical Report 12, Department of Electrical Engineering, Virginia Polytechnic Institute and State University, 1993.
    • (1993) Technical Report 12
    • Lee, H.K.1    Ha, D.S.2
  • 75
    • 0043136672 scopus 로고    scopus 로고
    • A signal correlation guided atpg solver and its applications for solving difficult industrial cases
    • F. Lu, L.-C. Wang, K.-T. Cheng, J. Moondanos, and Z. Hanna. A signal correlation guided atpg solver and its applications for solving difficult industrial cases. In Design Automation Conference (DAC), pages 436-441, 2003.
    • (2003) Design Automation Conference (DAC) , pp. 436-441
    • Lu, F.1    Wang, L.-C.2    Cheng, K.-T.3    Moondanos, J.4    Hanna, Z.5
  • 78
    • 0005511569 scopus 로고    scopus 로고
    • Robust search algorithms for test pattern generation
    • Department of Informatics, Technical University of Lisbon, January
    • J. P. Marques-Silva and K. A. Sakallah. Robust search algorithms for test pattern generation. Technical Report RT/02/97, Department of Informatics, Technical University of Lisbon, January 1997.
    • (1997) Technical Report RT/02/97
    • Marques-Silva, J.P.1    Sakallah, K.A.2
  • 79
    • 0032680865 scopus 로고    scopus 로고
    • GRASP: A search algorithm for propositional satisfiability
    • J. P. Marques-Silva and K. A. Sakallah. GRASP: A search algorithm for propositional satisfiability. IEEE Transactions on Computers, 48(5):506-521, 1999.
    • (1999) IEEE Transactions on Computers , vol.48 , Issue.5 , pp. 506-521
    • Marques-Silva, J.P.1    Sakallah, K.A.2
  • 80
    • 0242636375 scopus 로고    scopus 로고
    • Efficient event-driven simulation by exploiting the output observability of gate clusters
    • P. M. Maurer. Efficient event-driven simulation by exploiting the output observability of gate clusters. IEEE Transactions on Computer Aided Design of Circuits and Systems, 22(11):1471-1486, 2003.
    • (2003) IEEE Transactions on Computer Aided Design of Circuits and Systems , vol.22 , Issue.11 , pp. 1471-1486
    • Maurer, P.M.1
  • 86
    • 0001413253 scopus 로고
    • Diagnosis of automata failures: A calculus and a method
    • J. P. Roth. Diagnosis of automata failures: A calculus and a method. IBM Journal Research and Development, 10:278-281, 1966.
    • (1966) IBM Journal Research and Development , vol.10 , pp. 278-281
    • Roth, J.P.1
  • 94
  • 103
    • 0001340960 scopus 로고
    • Reprinted in:, Ed., Springer, Berlin
    • @ (Reprinted in: J. Siekmann, G. Wrightson (Ed.), Automation of Reasoning, Vol. 2, Springer, Berlin, 1983, pp. 466-483).
    • (1983) Automation of Reasoning , vol.2 , pp. 466-483
    • Siekmann, J.1    Wrightson, G.2
  • 109
    • 0015564343 scopus 로고
    • Enhancing testability of large-scale integrated circuits via test points and additional logic
    • M. J. Y. Williams and J. B. Angell. Enhancing testability of large-scale integrated circuits via test points and additional logic. IEEE Transactions on Computers, C-22(1):46-60, 1973.
    • (1973) IEEE Transactions on Computers , vol.C-22 , Issue.1 , pp. 46-60
    • Williams, M.J.Y.1    Angell, J.B.2
  • 112
    • 84893807812 scopus 로고    scopus 로고
    • Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications
    • L. Zhang and S. Malik. Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications. In Design, Automation and Test in Europe (DATE), pages 880-885, 2003.
    • (2003) Design, Automation and Test in Europe (DATE) , pp. 880-885
    • Zhang, L.1    Malik, S.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.