-
2
-
-
33751398970
-
Post-verification debugging of hierarchical designs
-
M. F. Ali, S. Safarpour, A. Veneris, M. S. Abadir, and R. Drechsler. Post-verification debugging of hierarchical designs. In International Conference on Computer Aided Design (ICCAD), pages 871-876, 2005.
-
(2005)
International Conference on Computer Aided Design (ICCAD)
, pp. 871-876
-
-
Ali, M.F.1
Safarpour, S.2
Veneris, A.3
Abadir, M.S.4
Drechsler, R.5
-
4
-
-
84938738286
-
A deductive method for simulating faults in logic circuits
-
D. B. Armstrong. A deductive method for simulating faults in logic circuits. IEEE Transactions on Computers, 21(5):464-471, 1972.
-
(1972)
IEEE Transactions on Computers
, vol.21
, Issue.5
, pp. 464-471
-
-
Armstrong, D.B.1
-
7
-
-
84944319371
-
Symbolic model checking without BDDs
-
A. Biere, A. Cimatti, E. Clarke, and Y. Zhu. Symbolic model checking without BDDs. In Tools and Algorithms for the Construction and Analysis of Systems (TACAS), volume 1579 of LNCS, pages 193-207, 1999.
-
(1999)
Tools and Algorithms For the Construction and Analysis of Systems (TACAS), Volume 1579 of LNCS
, pp. 193-207
-
-
Biere, A.1
Cimatti, A.2
Clarke, E.3
Zhu, Y.4
-
8
-
-
84891413835
-
-
Boolean Satisfiability Research Group at Princeton University, 15 Dec
-
@8. Boolean Satisfiability Research Group at Princeton University. zChaff, 15 Dec. 2008. http://www.princeton. edu/~chaff/zchaff.html.
-
(2008)
-
-
-
9
-
-
0020832565
-
Redundancy and don't cares in logic synthesis
-
D. Brand. Redundancy and don't cares in logic synthesis. IEEE Transactions on Computers, 32(10):947-952, 1983.
-
(1983)
IEEE Transactions on Computers
, vol.32
, Issue.10
, pp. 947-952
-
-
Brand, D.1
-
15
-
-
34548295213
-
QuteSAT: A robust circuit-based SAT solver for complex circuit structure
-
C.-A. Wu, T.-H. Lin, C.-C. Lee, and C.-Y. Huang. QuteSAT: A robust circuit-based SAT solver for complex circuit structure. In Design, Automation and Test in Europe (DATE), pages 1313-1318, 2007.
-
(2007)
Design, Automation and Test in Europe (DATE)
, pp. 1313-1318
-
-
Wu, C.-A.1
Lin, T.-H.2
Lee, C.-C.3
Huang, C.-Y.4
-
16
-
-
33646903261
-
Integration of learning techniques into incremental satisfiability for efficient path-delay fault test generation
-
K. Chandrasekar and M. S. Hsiao. Integration of learning techniques into incremental satisfiability for efficient path-delay fault test generation. In Design, Automation and Test in Europe (DATE), pages 1002-1007, 2005.
-
(2005)
Design, Automation and Test in Europe (DATE)
, pp. 1002-1007
-
-
Chandrasekar, K.1
Hsiao, M.S.2
-
17
-
-
0029697459
-
A satisfiability-based test generator for path delay faults in combinational circuits
-
C. Chen and S. K. Gupta. A satisfiability-based test generator for path delay faults in combinational circuits. In Design Automation Conference (DAC), pages 209-214, 1996.
-
(1996)
Design Automation Conference (DAC)
, pp. 209-214
-
-
Chen, C.1
Gupta, S.K.2
-
19
-
-
85059770931
-
The complexity of theorem proving procedures
-
S. A. Cook. The complexity of theorem proving procedures. In 3. ACM Symposium on Theory of Computing, pages 151-158, 1971.
-
(1971)
3. ACM Symposium on Theory of Computing
, pp. 151-158
-
-
Cook, S.A.1
-
22
-
-
84881072062
-
A computing procedure for quantification theory
-
M. Davis and H. Putnam. A computing procedure for quantification theory. Journal of the ACM, 7:506-521, 1960.
-
(1960)
Journal of the ACM
, vol.7
, pp. 506-521
-
-
Davis, M.1
Putnam, H.2
-
23
-
-
46649112518
-
Combinational equivalence checking using incremental SAT solving, output ordering, and resets
-
S. Disch and C. Scholl. Combinational equivalence checking using incremental SAT solving, output ordering, and resets. In ASP Design Automation Conference (ASPDAC), pages 938-943, 2007.
-
(2007)
ASP Design Automation Conference (ASPDAC)
, pp. 938-943
-
-
Disch, S.1
Scholl, C.2
-
24
-
-
0028714176
-
BiTeS: A BDD based test pattern generator for strong robust path delay faults
-
R. Drechsler. BiTeS: A BDD based test pattern generator for strong robust path delay faults. In European Design Automation Conference (EuroDAC), pages 322-327, 1994.
-
(1994)
European Design Automation Conference (EuroDAC)
, pp. 322-327
-
-
Drechsler, R.1
-
26
-
-
45849085783
-
On acceleration of SAT-based ATPG for industrial designs
-
R. Drechsler, S. Eggersglüß, G. Fey, A. Glowatz, F. Hapke, J. Schloeffel, and D. Tille. On acceleration of SAT-based ATPG for industrial designs. IEEE Transactions on Computer Aided Design of Circuits and Systems, 27(7):1329-1333, 2008.
-
(2008)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.27
, Issue.7
, pp. 1329-1333
-
-
Drechsler, R.1
Eggersglüß, S.2
Fey, G.3
Glowatz, A.4
Hapke, F.5
Schloeffel, J.6
Tille, D.7
-
30
-
-
48049098407
-
Improving test pattern compactness in SAT-based ATPG
-
S. Eggersglüß and R. Drechsler. Improving test pattern compactness in SAT-based ATPG. In Asian Test Symposium (ATS), pages 445-450, 2007.
-
(2007)
Asian Test Symposium (ATS)
, pp. 445-450
-
-
Eggersglüß, S.1
Drechsler, R.2
-
32
-
-
34548827047
-
Combining multi-valued logics in SAT-based ATPG for path delay faults
-
S. Eggersglüß, G. Fey, R. Drechsler, A. Glowatz, F. Hapke, and J. Schloeffel. Combining multi-valued logics in SAT-based ATPG for path delay faults. In ACM & IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE), pages 181-187, 2007.
-
(2007)
ACM & IEEE International Conference on Formal Methods and Models For Codesign (MEMOCODE)
, pp. 181-187
-
-
Eggersglüß, S.1
Fey, G.2
Drechsler, R.3
Glowatz, A.4
Hapke, F.5
Schloeffel, J.6
-
33
-
-
34548252343
-
Experimental studies on SAT-based ATPG for gate delay faults
-
S. Eggersglüß, D. Tille, G. Fey, R. Drechsler, A. Glowatz, F. Hapke, and J. Schloeffel. Experimental studies on SAT-based ATPG for gate delay faults. In International Symposium on Multiple-Valued Logic (ISMVL), 2007.
-
(2007)
International Symposium on Multiple-Valued Logic (ISMVL)
-
-
Eggersglüß, S.1
Tille, D.2
Fey, G.3
Drechsler, R.4
Glowatz, A.5
Hapke, F.6
Schloeffel, J.7
-
35
-
-
34047178467
-
On the relation between simulation-based and SAT-based diagnosis
-
G. Fey, S. Safarpour, A. Veneris, and R. Drechsler. On the relation between simulation-based and SAT-based diagnosis. In Design, Automation and Test in Europe (DATE), pages 1139-1144, 2006.
-
(2006)
Design, Automation and Test in Europe (DATE)
, pp. 1139-1144
-
-
Fey, G.1
Safarpour, S.2
Veneris, A.3
Drechsler, R.4
-
38
-
-
0344490799
-
Robust and nonrobust path delay fault simulation by parallel processing of patterns
-
K. Fink, F. Fuchs, and M. H. Schulz. Robust and nonrobust path delay fault simulation by parallel processing of patterns. IEEE Transactions on Computers, 41(12):1527-1536, 1992.
-
(1992)
IEEE Transactions on Computers
, vol.41
, Issue.12
, pp. 1527-1536
-
-
Fink, K.1
Fuchs, F.2
Schulz, M.H.3
-
39
-
-
0015757537
-
Easily testable iterative systems
-
A. D. Friedman. Easily testable iterative systems. IEEE Transactions on Computers, 22:1061-1064, 1973.
-
(1973)
IEEE Transactions on Computers
, vol.22
, pp. 1061-1064
-
-
Friedman, A.D.1
-
40
-
-
33646943512
-
Considering circuit observability don't cares in CNF satisfiability
-
Z. Fu, Y. Yu, and S. Malik. Considering circuit observability don't cares in CNF satisfiability. In Design, Automation and Test in Europe (DATE), pages 1108-1113, 2005.
-
(2005)
Design, Automation and Test in Europe (DATE)
, pp. 1108-1113
-
-
Fu, Z.1
Yu, Y.2
Malik, S.3
-
41
-
-
0026238696
-
DYNAMITE: An efficient automatic test pattern generation system for path delay faults
-
K. Fuchs, F. Fink, and M. H. Schulz. DYNAMITE: An efficient automatic test pattern generation system for path delay faults. IEEE Transactions on Computer Aided Design of Circuits and Systems, 10(10):1323-1335, 1991.
-
(1991)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.10
, Issue.10
, pp. 1323-1335
-
-
Fuchs, K.1
Fink, F.2
Schulz, M.H.3
-
43
-
-
0002056579
-
Fast test pattern generation for all path delay faults considering various tset classes
-
K. Fuchs, H. C. Wittmann, and K. J. Antreich. Fast test pattern generation for all path delay faults considering various tset classes. In European Test Conference (ETC), pages 89-98, 1993.
-
(1993)
European Test Conference (ETC)
, pp. 89-98
-
-
Fuchs, K.1
Wittmann, H.C.2
Antreich, K.J.3
-
44
-
-
0020923381
-
On the acceleration of test generation algorithms
-
H. Fujiwara and T. Shimono. On the acceleration of test generation algorithms. IEEE Transactions on Computers, 32:1137-1144, 1983.
-
(1983)
IEEE Transactions on Computers
, vol.32
, pp. 1137-1144
-
-
Fujiwara, H.1
Shimono, T.2
-
45
-
-
0036045483
-
Combining strengths of circuit-based and CNF-based algorithms for a highperformance SAT solver
-
M. K. Ganai, L. Zhang, P. Ashar, A. Gupta, and S. Malik. Combining strengths of circuit-based and CNF-based algorithms for a highperformance SAT solver. In Design Automation Conference (DAC), pages 747-750, 2002.
-
(2002)
Design Automation Conference (DAC)
, pp. 747-750
-
-
Ganai, M.K.1
Zhang, L.2
Ashar, P.3
Gupta, A.4
Malik, S.5
-
46
-
-
0029516849
-
Classification and test generation for path-delay faults using single stuck-fault tests
-
M. A. Gharaybeh, M. L. Bushnell, and V. D. Agrawal. Classification and test generation for path-delay faults using single stuck-fault tests. In International Test Conference (ITC), pages 139-147, 1995.
-
(1995)
International Test Conference (ITC)
, pp. 139-147
-
-
Gharaybeh, M.A.1
Bushnell, M.L.2
Agrawal, V.D.3
-
48
-
-
0019543877
-
An implicit enumeration algorithm to generate tests for combinational logic
-
P. Goel. An implicit enumeration algorithm to generate tests for combinational logic. IEEE Transactions on Computers, 30:215-222, 1981.
-
(1981)
IEEE Transactions on Computers
, vol.30
, pp. 215-222
-
-
Goel, P.1
-
53
-
-
0034841433
-
Dynamic detection and removal of inactive clauses in SAT with application in image computation
-
A. Gupta, A. Gupta, Z. Yang, and P. Ashar. Dynamic detection and removal of inactive clauses in SAT with application in image computation. In Design Automation Conference (DAC), pages 536-541, 2001.
-
(2001)
Design Automation Conference (DAC)
, pp. 536-541
-
-
Gupta, A.1
Gupta, A.2
Yang, Z.3
Ashar, P.4
-
54
-
-
18144399342
-
ALAPTF: A new transition fault model and the ATPG algorithm
-
P. Gupta and M. Hsiao. ALAPTF: A new transition fault model and the ATPG algorithm. In International Test Conference (ITC), pages 1053-1060, 2004.
-
(2004)
International Test Conference (ITC)
, pp. 1053-1060
-
-
Gupta, P.1
Hsiao, M.2
-
55
-
-
0000678060
-
Solving the incremental satisfiability problem
-
J. N. Hooker. Solving the incremental satisfiability problem. Journal of Logic Programming, 15(1-2):177-186, 1993.
-
(1993)
Journal of Logic Programming
, vol.15
, Issue.1-2
, pp. 177-186
-
-
Hooker, J.N.1
-
57
-
-
47349133530
-
Boosting verification by automatic tuning of decision procedures
-
F. Hutter, D. Babić, H. H. Hoos, and A. J. Hu. Boosting verification by automatic tuning of decision procedures. In International Conference on Formal Methods in CAD (FMCAD), pages 27-34, 2007.
-
(2007)
International Conference on Formal Methods in CAD (FMCAD)
, pp. 27-34
-
-
Hutter, F.1
Babić, D.2
Hoos, H.H.3
Hu, A.J.4
-
61
-
-
0003934656
-
On applying incremental satisfiability to delay fault testing
-
J. Kim, J. Whittemore, J. P. Marques-Silva, and K. A. Sakallah. On applying incremental satisfiability to delay fault testing. In Design, Automation and Test in Europe (DATE), pages 380-384, 2000.
-
(2000)
Design, Automation and Test in Europe (DATE)
, pp. 380-384
-
-
Kim, J.1
Whittemore, J.2
Marques-Silva, J.P.3
Sakallah, K.A.4
-
62
-
-
0018924690
-
Undetectability of bridging faults and validity of stuck-at fault test sets
-
K. L. Kodandapani and D. K. Pradhan. Undetectability of bridging faults and validity of stuck-at fault test sets. IEEE Transactions on Computers, C-29(1):55-59, 1980.
-
(1980)
IEEE Transactions on Computers
, vol.C-29
, Issue.1
, pp. 55-59
-
-
Kodandapani, K.L.1
Pradhan, D.K.2
-
64
-
-
0036918496
-
Robust Boolean reasoning for equivalence checking and functional property verification
-
A. Kuehlmann, V. Paruthi, F. Krohm, and M. K. Ganai. Robust Boolean reasoning for equivalence checking and functional property verification. IEEE Transactions on Computer Aided Design of Circuits and Systems, 21(12):1377-1394, 2002.
-
(2002)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.21
, Issue.12
, pp. 1377-1394
-
-
Kuehlmann, A.1
Paruthi, V.2
Krohm, F.3
Ganai, M.K.4
-
65
-
-
0027839536
-
HANNIBAL: An efficient tool for logic verification based on recursive learning
-
W. Kunz. HANNIBAL: An efficient tool for logic verification based on recursive learning. In International Conference on Computer Aided Design (ICCAD), pages 538-543, 1993.
-
(1993)
International Conference on Computer Aided Design (ICCAD)
, pp. 538-543
-
-
Kunz, W.1
-
66
-
-
0028501364
-
Recursive learning: A new implication technique for efficient solutions of CAD problems: Test, verification and optimization
-
W. Kunz and D. K. Pradhan. Recursive learning: A new implication technique for efficient solutions of CAD problems: Test, verification and optimization. IEEE Transactions on Computer Aided Design of Circuits and Systems, 13(9):1143-1158, 1994.
-
(1994)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.13
, Issue.9
, pp. 1143-1158
-
-
Kunz, W.1
Pradhan, D.K.2
-
68
-
-
0026970583
-
HOPE: An efficient parallel fault simulator for synchronous sequential circuits
-
H. K. Lee and D. S. Ha. HOPE: An efficient parallel fault simulator for synchronous sequential circuits. In Design Automation Conference (DAC), pages 336-340, 1992.
-
(1992)
Design Automation Conference (DAC)
, pp. 336-340
-
-
Lee, H.K.1
Ha, D.S.2
-
69
-
-
0005665884
-
Atalanta: An efficient ATPG for combinational circuits
-
Department of Electrical Engineering, Virginia Polytechnic Institute and State University
-
H. K. Lee and D. S. Ha. Atalanta: An efficient ATPG for combinational circuits. Technical Report 12, Department of Electrical Engineering, Virginia Polytechnic Institute and State University, 1993.
-
(1993)
Technical Report 12
-
-
Lee, H.K.1
Ha, D.S.2
-
70
-
-
70349507165
-
A branch and bound algorithm for extracting smallest minimal unsatisfiable subformulas
-
to appear in
-
M. Liffiton, M. Mneimneh, I. Lynce, Z. Andraus, J. Marques-Silva, and K. Sakallah. A branch and bound algorithm for extracting smallest minimal unsatisfiable subformulas. Constraints: An International Journal, to appear in 2009.
-
(2009)
Constraints: An International Journal
-
-
Liffiton, M.1
Mneimneh, M.2
Lynce, I.3
Andraus, Z.4
Marques-Silva, J.5
Sakallah, K.6
-
72
-
-
33947642638
-
Timing-aware ATPG for high quality at-speed testing of small delay defects
-
X. Lin, K.-H. Tsai, C. Wang, M. Kassab, J. Rajski, T. Kobayashi, R. Klingenberg, Y. Sato, S. Hamada, and T. Aikyo. Timing-aware ATPG for high quality at-speed testing of small delay defects. In Asian Test Symposium (ATS), 2006.
-
(2006)
Asian Test Symposium (ATS)
-
-
Lin, X.1
Tsai, K.-H.2
Wang, C.3
Kassab, M.4
Rajski, J.5
Kobayashi, T.6
Klingenberg, R.7
Sato, Y.8
Hamada, S.9
Aikyo, T.10
-
74
-
-
84893813903
-
A circuit SAT solver with signal correlation guided learning
-
F. Lu, L.-C. Wang, K.-T. Cheng, and R. Huang. A circuit SAT solver with signal correlation guided learning. In Design, Automation and Test in Europe (DATE), pages 892-897, 2003.
-
(2003)
Design, Automation and Test in Europe (DATE)
, pp. 892-897
-
-
Lu, F.1
Wang, L.-C.2
Cheng, K.-T.3
Huang, R.4
-
75
-
-
0043136672
-
A signal correlation guided atpg solver and its applications for solving difficult industrial cases
-
F. Lu, L.-C. Wang, K.-T. Cheng, J. Moondanos, and Z. Hanna. A signal correlation guided atpg solver and its applications for solving difficult industrial cases. In Design Automation Conference (DAC), pages 436-441, 2003.
-
(2003)
Design Automation Conference (DAC)
, pp. 436-441
-
-
Lu, F.1
Wang, L.-C.2
Cheng, K.-T.3
Moondanos, J.4
Hanna, Z.5
-
78
-
-
0005511569
-
Robust search algorithms for test pattern generation
-
Department of Informatics, Technical University of Lisbon, January
-
J. P. Marques-Silva and K. A. Sakallah. Robust search algorithms for test pattern generation. Technical Report RT/02/97, Department of Informatics, Technical University of Lisbon, January 1997.
-
(1997)
Technical Report RT/02/97
-
-
Marques-Silva, J.P.1
Sakallah, K.A.2
-
79
-
-
0032680865
-
GRASP: A search algorithm for propositional satisfiability
-
J. P. Marques-Silva and K. A. Sakallah. GRASP: A search algorithm for propositional satisfiability. IEEE Transactions on Computers, 48(5):506-521, 1999.
-
(1999)
IEEE Transactions on Computers
, vol.48
, Issue.5
, pp. 506-521
-
-
Marques-Silva, J.P.1
Sakallah, K.A.2
-
80
-
-
0242636375
-
Efficient event-driven simulation by exploiting the output observability of gate clusters
-
P. M. Maurer. Efficient event-driven simulation by exploiting the output observability of gate clusters. IEEE Transactions on Computer Aided Design of Circuits and Systems, 22(11):1471-1486, 2003.
-
(2003)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.22
, Issue.11
, pp. 1471-1486
-
-
Maurer, P.M.1
-
82
-
-
0034852165
-
Chaff: Engineering an efficient SAT solver
-
M. W. Moskewicz, C. F. Madigan, Y. Zhao, L. Zhang, and S. Malik. Chaff: Engineering an efficient SAT solver. In Design Automation Conference (DAC), pages 530-535, 2001.
-
(2001)
Design Automation Conference (DAC)
, pp. 530-535
-
-
Moskewicz, M.W.1
Madigan, C.F.2
Zhao, Y.3
Zhang, L.4
Malik, S.5
-
85
-
-
0029547554
-
NEST: A nonenumerative test generation method for path delay faults in combinational circuits
-
I. Pomeranz, S. M. Reddy, and P. Uppaluri. NEST: A nonenumerative test generation method for path delay faults in combinational circuits. IEEE Transactions on Computer Aided Design of Circuits and Systems, 14(12):1505-1515, 1995.
-
(1995)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.14
, Issue.12
, pp. 1505-1515
-
-
Pomeranz, I.1
Reddy, S.M.2
Uppaluri, P.3
-
86
-
-
0001413253
-
Diagnosis of automata failures: A calculus and a method
-
J. P. Roth. Diagnosis of automata failures: A calculus and a method. IBM Journal Research and Development, 10:278-281, 1966.
-
(1966)
IBM Journal Research and Development
, vol.10
, pp. 278-281
-
-
Roth, J.P.1
-
87
-
-
3042611835
-
Managing don't cares in Boolean satisfiability
-
S. Safarpour, A. Veneris, R. Drechsler, and J. Lee. Managing don't cares in Boolean satisfiability. In Design, Automation and Test in Europe (DATE), pages 260-265, 2004.
-
(2004)
Design, Automation and Test in Europe (DATE)
, pp. 260-265
-
-
Safarpour, S.1
Veneris, A.2
Drechsler, R.3
Lee, J.4
-
88
-
-
0023865139
-
SOCRATES: A highly efficient automatic test pattern generation system
-
M. Schulz, E. Trischler, and T. Sarfert. SOCRATES: A highly efficient automatic test pattern generation system. IEEE Transactions on Computer Aided Design of Circuits and Systems, 7(1):126-137, 1988.
-
(1988)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.7
, Issue.1
, pp. 126-137
-
-
Schulz, M.1
Trischler, E.2
Sarfert, T.3
-
89
-
-
0003934798
-
-
Technical Report, University of Berkeley
-
E. Sentovich, K. Singh, L. Lavagno, Ch. Moon, R. Murgai, A. Saldanha, H. Savoj, P. Stephan, R. Brayton, and A. Sangiovanni-Vincentelli. SIS: A system for sequential circuit synthesis. Technical Report, University of Berkeley, 1992.
-
(1992)
SIS: A System For Sequential Circuit Synthesis
-
-
Sentovich, E.1
Singh, K.2
Lavagno, L.3
Moon, Ch.4
Murgai, R.5
Saldanha, A.6
Savoj, H.7
Stephan, P.8
Brayton, R.9
Sangiovanni-Vincentelli, A.10
-
91
-
-
26844504869
-
PASSAT: Efficient SAT-based test pattern generation for industrial circuits
-
J. Shi, G. Fey, R. Drechsler, A. Glowatz, F. Hapke, and J. Schlöffel. PASSAT: Efficient SAT-based test pattern generation for industrial circuits. In IEEE Annual Symposium on VLSI (ISVLSI), pages 212-217, 2005.
-
(2005)
IEEE Annual Symposium on VLSI (ISVLSI)
, pp. 212-217
-
-
Shi, J.1
Fey, G.2
Drechsler, R.3
Glowatz, A.4
Hapke, F.5
Schlöffel, J.6
-
94
-
-
0022307908
-
Model for delay faults based upon paths
-
G. L. Smith. Model for delay faults based upon paths. In International Test Conference (ITC), pages 342-349, 1985.
-
(1985)
International Test Conference (ITC)
, pp. 342-349
-
-
Smith, G.L.1
-
97
-
-
0034250207
-
Igraine - An implication graph based engine for fast implication, justification, and propagation
-
P. Tafertshofer, A. Ganz, and K. Antreich. Igraine - An implication graph based engine for fast implication, justification, and propagation. IEEE Transactions on Computer Aided Design of Circuits and Systems, 19(8):907-927, 2000.
-
(2000)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.19
, Issue.8
, pp. 907-927
-
-
Tafertshofer, P.1
Ganz, A.2
Antreich, K.3
-
98
-
-
0031341194
-
A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists
-
P. Tafertshofer, A. Ganz, and M. Henftling. A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. In International Conference on Computer Aided Design (IC-CAD), pages 648-655, 1997.
-
(1997)
International Conference on Computer Aided Design (IC-CAD)
, pp. 648-655
-
-
Tafertshofer, P.1
Ganz, A.2
Henftling, M.3
-
103
-
-
0001340960
-
-
Reprinted in:, Ed., Springer, Berlin
-
@ (Reprinted in: J. Siekmann, G. Wrightson (Ed.), Automation of Reasoning, Vol. 2, Springer, Berlin, 1983, pp. 466-483).
-
(1983)
Automation of Reasoning
, vol.2
, pp. 466-483
-
-
Siekmann, J.1
Wrightson, G.2
-
105
-
-
0023330236
-
Transition fault simulation
-
J. A. Waicukauski, E. Lindbloom, B. K. Rosen, and V. S. Iyengar. Transition fault simulation. IEEE Design & Test of Computers, 4(2):32-38, 1987.
-
(1987)
IEEE Design & Test of Computers
, vol.4
, Issue.2
, pp. 32-38
-
-
Waicukauski, J.A.1
Lindbloom, E.2
Rosen, B.K.3
Iyengar, V.S.4
-
106
-
-
8344278837
-
Critical path selection for delay fault testing based upon a statistical timing model
-
L.-C. Wang, J.-J. Liou, and K.-T. Cheng. Critical path selection for delay fault testing based upon a statistical timing model. IEEE Transactions on Computer Aided Design of Circuits and Systems, 23(11):1550-1565, 2004.
-
(2004)
IEEE Transactions on Computer Aided Design of Circuits and Systems
, vol.23
, Issue.11
, pp. 1550-1565
-
-
Wang, L.-C.1
Liou, J.-J.2
Cheng, K.-T.3
-
109
-
-
0015564343
-
Enhancing testability of large-scale integrated circuits via test points and additional logic
-
M. J. Y. Williams and J. B. Angell. Enhancing testability of large-scale integrated circuits via test points and additional logic. IEEE Transactions on Computers, C-22(1):46-60, 1973.
-
(1973)
IEEE Transactions on Computers
, vol.C-22
, Issue.1
, pp. 46-60
-
-
Williams, M.J.Y.1
Angell, J.B.2
-
111
-
-
0035209012
-
Efficient conflict driven learning in a Boolean satisfiability solver
-
L. Zhang, C. F. Madigan, M. H. Moskewicz, and S. Malik. Efficient conflict driven learning in a Boolean satisfiability solver. In International Conference on Computer Aided Design (ICCAD), pages 279-285, 2001.
-
(2001)
International Conference on Computer Aided Design (ICCAD)
, pp. 279-285
-
-
Zhang, L.1
Madigan, C.F.2
Moskewicz, M.H.3
Malik, S.4
-
112
-
-
84893807812
-
Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications
-
L. Zhang and S. Malik. Validating SAT solvers using an independent resolution-based checker: Practical implementations and other applications. In Design, Automation and Test in Europe (DATE), pages 880-885, 2003.
-
(2003)
Design, Automation and Test in Europe (DATE)
, pp. 880-885
-
-
Zhang, L.1
Malik, S.2
|