-
2
-
-
0346267670
-
Review and future prospects of low-voltage RAM circuits
-
N. Yoshinobu, H. Masahi, K. Takayuki, and K. Itoh, "Review and future prospects of low-voltage RAM circuits," IBM J. Res. Devel, vol. 47, no. 5/6, pp. 525-552, 2003.
-
(2003)
IBM J. Res. Devel
, vol.47
, Issue.5-6
, pp. 525-552
-
-
Yoshinobu, N.1
Masahi, H.2
Takayuki, K.3
Itoh, K.4
-
3
-
-
0035308547
-
The impact of intrinsic device fluctuations on CMOS SRAM cell stability
-
DOI 10.1109/4.913744, PII S0018920001024106
-
A. Bhavnagarwala, X. Tang, and J. Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability," IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 658-665, Apr. 2001. (Pubitemid 32407171)
-
(2001)
IEEE Journal of Solid-State Circuits
, vol.36
, Issue.4
, pp. 658-665
-
-
Bhavnagarwala, A.J.1
Tang, X.2
Meindl, J.D.3
-
4
-
-
29144526605
-
Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
-
Dec.
-
S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE Trans. Comput.-Aided Design (CAD) In-tegr. Circuits Syst., vol. 24, no. 12, pp. 1859-1880, Dec. 2005.
-
(2005)
IEEE Trans. Comput.-Aided Design (CAD) In-tegr. Circuits Syst.
, vol.24
, Issue.12
, pp. 1859-1880
-
-
Mukhopadhyay, S.1
Mahmoodi, H.2
Roy, K.3
-
5
-
-
51849165535
-
Read and write circuit assist techniques for improving Vccmin of dense 6T SRAM cell
-
Jun.
-
M. M. Khellah, A. Keshavarzi, D. Somasekhar, T. Karnik, and V. De, "Read and write circuit assist techniques for improving Vccmin of dense 6T SRAM cell," in Proc. Int. Conf. Integr. Circuit Design Technol., Jun. 2008, pp. 185-189.
-
(2008)
Proc. Int. Conf. Integr. Circuit Design Technol
, pp. 185-189
-
-
Khellah, M.M.1
Keshavarzi, A.2
Somasekhar, D.3
Karnik, T.4
De, V.5
-
6
-
-
0035696648
-
A loadless CMOS four-transistor SRAM cell in a 0.18-μm logic technology
-
DOI 10.1109/16.974716, PII S0018938301101280
-
K. Noda, K. Matsui, K. Takeda, and N. Nakamura, "Aloadless CMOS four-transistor SRAM cell in a 0.18-jti m logic technology," IEEE Trans. Electron Devices, vol. 12, no. 12, pp. 2851-2855, Dec. 2001. (Pubitemid 34091898)
-
(2001)
IEEE Transactions on Electron Devices
, vol.48
, Issue.12
, pp. 2851-2855
-
-
Noda, K.1
Matsui, K.2
Takeda, K.3
Nakamura, N.4
-
7
-
-
17644390667
-
A high density, low leakage, 5T SRAM for embedded caches
-
ESSCIRC 2004 - Proceedings of the 30th European Solid-State Circuits Conference
-
I. Carlson, S. Andersson, S. Natarajan, and A. Alvandpour, "A high density, low leakage, 5T SRAM for embedded caches," in Proc. 30th Eur. Solid State Circuits Conf/, Sep. 2004, pp. 215-218. (Pubitemid 40566405)
-
(2004)
ESSCIRC 2004 - Proceedings of the 30th European Solid-State Circuits Conference
, pp. 215-218
-
-
Carlson, I.1
Andersson, S.2
Natarajan, S.3
Alvandpour, A.4
-
8
-
-
34548830136
-
A sub-200 mV 6 T SRAM in 0.13//m CMOS
-
Feb.
-
B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, "A sub-200 mV 6 T SRAM in 0.13//m CMOS," in Proc. Int. Solid State Circuits Conf., Feb. 2007, pp. 332-333.
-
(2007)
Proc. Int. Solid State Circuits Conf
, pp. 332-333
-
-
Zhai, B.1
Blaauw, D.2
Sylvester, D.3
Hanson, S.4
-
9
-
-
51749118922
-
Low power and robust 7T dual-Vt SRAM circuit
-
S. Tawfik and V. Kursun, "Low power and robust 7T dual-Vt SRAM circuit," in Proc. Int. Symp. Circuits Syst., 2008, pp. 1452-1455.
-
(2008)
Proc. Int. Symp. Circuits Syst.
, pp. 1452-1455
-
-
Tawfik, S.1
Kursun, V.2
-
10
-
-
52249106671
-
A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses
-
Sep.
-
T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, "A stable 2-port SRAM cell design against simultaneously read/write-disturbed accesses," IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109-2119, Sep. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.9
, pp. 2109-2119
-
-
Suzuki, T.1
Yamauchi, H.2
Yamagami, Y.3
Satomi, K.4
Akamatsu, H.5
-
11
-
-
28144439697
-
dd and high-speed applications
-
Feb.
-
dd and high-speed applications," in Proc. Int. Solid State Circuits Conf., Feb. 2005, pp. 478-479.
-
(2005)
Proc. Int. Solid State Circuits Conf
, pp. 478-479
-
-
Takeda, K.1
Hagihara, Y.2
Aimoto, Y.3
Nomura, M.4
Nakazawa, Y.5
Ishii, T.6
Kobatake, H.7
-
12
-
-
30844446272
-
Novel 7T SRAM cell for low power cache design
-
TB2.2, Proceedings - IEEE International SOC Conference, 2005 SOCC
-
R. Aly, M. Faisal, and A. Bayoumi, "Novel 7T SRAM cell for low power cache design," in Proc. IEEESOC Conf., 2005, pp. 171-174. (Pubitemid 43104972)
-
(2005)
Proceedings - IEEE International SOC Conference
, pp. 171-174
-
-
Aly, R.E.1
Faisal, Md.I.2
Bayoumi, M.A.3
-
13
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
DOI 10.1109/.2005.1469239, 1469239, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. R. Mon-toye, L. Sekaric, S. McNab, W. Topol, C. Adams, K. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in Proc. Symp. VLSITechnol., 2005, pp. 128-129. (Pubitemid 43897595)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, C.D.10
Guarini, K.W.11
Haensch, W.12
-
15
-
-
39749154813
-
6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM
-
DOI 10.1109/VLSIC.2007.4342738, 4342738, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
R. Joshi, R. Houle, K. Batson, D. Rodko, P. Patel, W. Huott, R. Franch, Y. Chan, D. Plass, S. Wilson, and P. Wang, "6.6+ GHz low Vmin, read and half select disturb-free 1.2 Mb SRAM," in Proc. VLSI Circuit Symp., Jun. 2007, pp. 250-251. (Pubitemid 351306642)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 250-251
-
-
Joshi, R.1
Houle, R.2
Batson, K.3
Rodko, D.4
Patel, P.5
Huott, W.6
Franch, R.7
Chan, Y.8
Plass, D.9
Wilson, S.10
Wang, P.11
-
16
-
-
39549121994
-
A disturb decoupled column select 8T SRAM cell
-
DOI 10.1109/CICC.2007.4405674, 4405674, Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC
-
V. Ramadurai, R. Joshi, and R. Kanj, "A disturb decoupled column select 8T SRAM cell," in Proc. Custom Integr. Circuits Conf, Sep. 2007, pp. 25-28. (Pubitemid 351276926)
-
(2008)
Proceedings of the Custom Integrated Circuits Conference
, pp. 25-28
-
-
Ramadurai, V.1
Joshi, R.2
Kanj, R.3
-
17
-
-
37749046808
-
An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment
-
Jun.
-
Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment," in Proc. VLSI Circuit Symp., Jun. 2007, pp. 14-16.
-
(2007)
Proc. VLSI Circuit Symp
, pp. 14-16
-
-
Morita, Y.1
Fujiwara, H.2
Noguchi, H.3
Iguchi, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
18
-
-
41549118603
-
High read stability and low leakage cache memory cell
-
Apr.
-
Z. Liu and V. Kursun, "High read stability and low leakage cache memory cell," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 4, pp. 488-492, Apr. 2008.
-
(2008)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.16
, Issue.4
, pp. 488-492
-
-
Liu, Z.1
Kursun, V.2
-
19
-
-
56349157161
-
A highly-stable nanometer memory for low-power design
-
S. Lin, Y.-B. Kim, and F. Lombardi, "A highly-stable nanometer memory for low-power design," in Proc. IEEE Int. Workshop Design Test of Nano Devices, Circuits Syst., 2008, pp. 17-20.
-
(2008)
Proc. IEEE Int. Workshop Design Test of Nano Devices, Circuits Syst.
, pp. 17-20
-
-
Lin, S.1
Kim, Y.-B.2
Lombardi, F.3
-
20
-
-
47649109815
-
A 100 MHz to 1 GHz, 0.35 v to 1.5 v supply 256 X 64 SRAM block using symmetrized 9T SRAM cell with controlled read
-
S. Verkila, S. Bondada, and B. Amrutur, "A 100 MHz to 1 GHz, 0.35 V to 1.5 V supply 256 X 64 SRAM block using symmetrized 9T SRAM cell with controlled read," in Proc. Int. Conf. VLSI Design, 2008, pp. 560-565.
-
(2008)
Proc. Int. Conf. VLSI Design
, pp. 560-565
-
-
Verkila, S.1
Bondada, S.2
Amrutur, B.3
-
21
-
-
84856236747
-
A 45 nm 0.6 v cross-point 8T SRAM with negative biased read/write assist
-
M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara, "A 45 nm 0.6 V cross-point 8T SRAM with negative biased read/write assist," in Proc. VLSI Circuit Symp., 2009, pp. 222-223.
-
(2009)
Proc. VLSI Circuit Symp.
, pp. 222-223
-
-
Yabuuchi, M.1
Nii, K.2
Tsukamoto, Y.3
Ohbayashi, S.4
Nakase, Y.5
Shinohara, H.6
-
22
-
-
70449369253
-
A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications
-
M.-F. Chang, J.-J. Wu, K.-T. Chen, and H. Yamauchi, "A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications," in Proc. VLSI Circuit Symp., 2009, pp. 222-223.
-
(2009)
Proc. VLSI Circuit Symp.
, pp. 222-223
-
-
Chang, M.-F.1
Wu, J.-J.2
Chen, K.-T.3
Yamauchi, H.4
-
24
-
-
34548813602
-
A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme
-
Feb.
-
T.-H. Kim, J. Liu, J. Keane, and C.-H. Kim, "A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme," in Proc. Int. Solid State Circuits Conf, Feb. 2007, pp. 330-331.
-
(2007)
Proc. Int. Solid State Circuits Conf
, pp. 330-331
-
-
Kim, T.-H.1
Liu, J.2
Keane, J.3
Kim, C.-H.4
-
25
-
-
51849155910
-
Which is the best dual-port SRAM in 45-nm process technology?-8T, 10T single end, and 10T differential
-
Jun.
-
H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, "Which is the best dual-port SRAM in 45-nm process technology?-8T, 10T single end, and 10T differential," in Proc. IEEE Int. Conf. Integr. Circuit Design Technol, Jun. 2008, pp. 55-58.
-
(2008)
Proc. IEEE Int. Conf. Integr. Circuit Design Technol
, pp. 55-58
-
-
Noguchi, H.1
Okumura, S.2
Iguchi, Y.3
Fujiwara, H.4
Morita, Y.5
Nii, K.6
Kawaguchi, H.7
Yoshimoto, M.8
-
26
-
-
49549103577
-
A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
Feb.
-
I. Chang, J.-J. Kim, S. Park, and K. Roy, "A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS," in Proc. Int. Solid State Circuits Conf, Feb. 2008, pp. 628-629.
-
(2008)
Proc. Int. Solid State Circuits Conf
, pp. 628-629
-
-
Chang, I.1
Kim, J.-J.2
Park, S.3
Roy, K.4
-
27
-
-
67649672449
-
A 0.56-V 128 kb 10T SRAM using column line assist (CLA) scheme
-
May
-
S. Okumura, Y. Iguchi, S. Yoshimoto, H. Fujiwara, H. Noguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "A 0.56-V 128 kb 10T SRAM using column line assist (CLA) scheme," in Proc. Int. Symp. Quality Electron. Design (ISQED), May 2009, pp. 659-663.
-
(2009)
Proc. Int. Symp. Quality Electron. Design (ISQED)
, pp. 659-663
-
-
Okumura, S.1
Iguchi, Y.2
Yoshimoto, S.3
Fujiwara, H.4
Noguchi, H.5
Nii, K.6
Kawaguchi, H.7
Yoshimoto, M.8
-
28
-
-
33746369469
-
Static noise margin variation for sub-threshold SRAM in 65-nm CMOS
-
DOI 10.1109/JSSC.2006.873215, 1644879
-
B. H. Calhoun and A. P. Chandrakasan, "Static noise margin variation for subthreshold SRAM in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 41, no. 7, pp. 1673-1679, Jul. 2006. (Pubitemid 44109303)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.7
, pp. 1673-1679
-
-
Calhoun, B.H.1
Chandrakasan, A.P.2
-
29
-
-
0003850954
-
-
Upper Saddle River, NJ: Prentice-Hall
-
J. Rabaey, A. chandrakasan, and B. Nikolic, Digital Integrated Circuits: A Design Perspective, 2nd ed. Upper Saddle River, NJ: Prentice-Hall, 2002.
-
(2002)
Digital Integrated Circuits: A Design Perspective, 2nd Ed
-
-
Rabaey, J.1
Chandrakasan, A.2
Nikolic, B.3
-
30
-
-
34748830993
-
A 160 mV robust schmitt trigger based subthreshold SRAM
-
DOI 10.1109/JSSC.2007.897148
-
J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust Schmitt trigger based subthreshold SRAM," IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303-2313, Oct. 2007. (Pubitemid 47483011)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.10
, pp. 2303-2313
-
-
Kulkarni, J.P.1
Kim, K.2
Roy, K.3
-
31
-
-
51549097605
-
Process variation tolerant SRAM array for ultra low voltage applications
-
Jun.
-
J. P. Kulkarni, K. Kim, S. Park, and K. Roy, "Process variation tolerant SRAM array for ultra low voltage applications," in Proc. Design Autom. Conf., Jun. 2008, pp. 108-113.
-
(2008)
Proc. Design Autom. Conf
, pp. 108-113
-
-
Kulkarni, J.P.1
Kim, K.2
Park, S.3
Roy, K.4
-
32
-
-
33745127020
-
2 Conventional bulk 6T-SRAM bit -cells for 45nm node low cost - General purpose applications
-
DOI 10.1109/.2005.1469240, 1469240, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
2 conventional bulk 6T-SRAM bit-cells for 45 nm node low cost-general purpose applications," in Proc. VLSI Technol. Symp., 2005, pp. 130-131. (Pubitemid 43897596)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 130-131
-
-
Boeuf, F.1
Arnaud, F.2
Boccaccio, C.3
Salvetti, F.4
Todeschini, J.5
Pain, L.6
Jurdit, M.7
Manakli, S.8
Icard, B.9
Planes, N.10
Gierczynski, N.11
Denorme, S.12
Borot, B.13
Ortolland, C.14
Duriez, B.15
Tavel, B.16
Gouraud, P.17
Broekaart, M.18
Dejonghe, V.19
Brun, P.20
Guyader, F.21
Morin, P.22
Reddy, C.23
Aminpur, M.24
Laviron, C.25
Smith, S.26
Jacquemin, J.P.27
Mellier, M.28
Andre, F.29
Bicais-Lepinay, N.30
Jullian, S.31
Bustos, J.32
Skotnicki, T.33
more..
-
33
-
-
0141538345
-
2 embedded 6T-SRAMbit cell for 65 nm CMOS platform
-
2 embedded 6T-SRAMbit cell for 65 nm CMOS platform, Proc. VLSI Technol. Symp. 2003,p 65-66.
-
(2003)
Proc. VLSI Technol. Symp.
, pp. 65-66
-
-
Arnaud Etal, F.1
-
34
-
-
37749013850
-
A 5.3GHz 8T-SRAM with operation down to 0.41V in 65nm CMOS
-
DOI 10.1109/VLSIC.2007.4342739, 4342739, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, "A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65 nm CMOS," in Proc. VLSI Circuit Symp., Jun. 2007, pp. 252-253. (Pubitemid 351306643)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 252-253
-
-
Chang, L.1
Nakamura, Y.2
Montoye, R.K.3
Sawada, J.4
Martin, A.K.5
Kinoshita, K.6
Gebara, F.H.7
Agarwal, K.B.8
Achaiyya, D.J.9
Haensch, W.10
Hosokawa, K.11
Jamsek, D.12
-
35
-
-
0033683110
-
A 2 GHz cycle, 430 ps access time 34 Kb L1 directory SRAM in 1.5 V, 0.18/um CMOS bulk technology
-
R. V. Joshi, S. P. Kowalczyk, Y. H. Chan, W. V. Huott, S. C. Wilson, and G. J. Scharff, "A 2 GHz cycle, 430 ps access time 34 Kb L1 directory SRAM in 1.5 V, 0.18/um CMOS bulk technology," in Proc. VLSI Circuit Symp., 2000, pp. 222-223.
-
(2000)
Proc. VLSI Circuit Symp.
, pp. 222-223
-
-
Joshi, R.V.1
Kowalczyk, S.P.2
Chan, Y.H.3
Huott, W.V.4
Wilson, S.C.5
Scharff, G.J.6
-
36
-
-
4544226086
-
A SRAM design on 65 nm CMOS technology with integrated leakage reduction scheme
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A SRAM design on 65 nm CMOS technology with integrated leakage reduction scheme," in Proc. VLSI Circuit Symp., 2004, pp. 294-295.
-
(2004)
Proc. VLSI Circuit Symp.
, pp. 294-295
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
37
-
-
49549091784
-
A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management
-
Feb.
-
H. Pilo, V. Ramadurai, G. Braceras, J. Gabric, S. Lamphier, and Y. Tan, "A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management," in Proc. Int. Solid State Circuits Conf, Feb. 2008, pp. 378-379.
-
(2008)
Proc. Int. Solid State Circuits Conf
, pp. 378-379
-
-
Pilo, H.1
Ramadurai, V.2
Braceras, G.3
Gabric, J.4
Lamphier, S.5
Tan, Y.6
-
38
-
-
37549057592
-
IBM POWER6 SRAM arrays
-
Nov.
-
D. W. Plass and Y. H. Chan, "IBM POWER6 SRAM arrays," IBM J. Res. Devel, vol. 51, no. 6, pp. 747-756, Nov. 2007.
-
(2007)
IBM J. Res. Devel
, vol.51
, Issue.6
, pp. 747-756
-
-
Plass, D.W.1
Chan, Y.H.2
-
39
-
-
4544353903
-
A 0.9 ns random cycle 36 Mb network SRAM with 33 mW standby power
-
H. Pilo, G. Braceras, S. Hall, S. Lamphier, M. Miller, A. Roberts, and R. Wistort, "A 0.9 ns random cycle 36 Mb network SRAM with 33 mW standby power," in Proc. VLSI Circuit Symp., 2004, pp. 284-287.
-
(2004)
Proc. VLSI Circuit Symp.
, pp. 284-287
-
-
Pilo, H.1
Braceras, G.2
Hall, S.3
Lamphier, S.4
Miller, M.5
Roberts, A.6
Wistort, R.7
-
40
-
-
0023437909
-
Static noise margin analysis of MOS SRAM cells
-
E. Seevinck, F. List, and J. Lohstroh, "Static noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. SC-22, no. 10, pp. 748-754, Oct. 1987. (Pubitemid 18521731)
-
(1987)
IEEE Journal of Solid-State Circuits
, vol.SC-22
, Issue.5
, pp. 748-754
-
-
Seevinck Evert1
List Frans, J.2
Lohstroh Jan3
-
41
-
-
33750815896
-
Read stability and write-ability analysis of SRAM cells for nanometer technologies
-
DOI 10.1109/JSSC.2006.883344, 1717680
-
E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, "Read stability and write-ability analysis of SRAM cells for nanometer technologies," IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2577-2588, Nov. 2006. (Pubitemid 44711614)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.11
, pp. 2577-2588
-
-
Grossar, E.1
Stucchi, M.2
Maex, K.3
Dehaene, W.4
-
42
-
-
34547210880
-
Statistical analysis of SRAM cell stability
-
DOI 10.1145/1146909.1146928, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
K. Agarwal and S. Nassif, "Statistical analysis of SRAM cell stability," in Proc. Design Autom. Conf, 2006, pp. 57-62. (Pubitemid 47113867)
-
(2006)
Proceedings - Design Automation Conference
, pp. 57-62
-
-
Agarwal, K.1
Nassif, S.2
-
43
-
-
34547298101
-
Evaluation of differential vs. single-ended sensing and asymmetric cells in 90nm logic technology for on-chip caches
-
1692747, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings
-
Y. Ye, M. Khellah, D. Somasekhar, and V. De, "Evaluation of differential versus single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches," in Proc. Int. Symp. Circuits Syst., 2006, pp. 963-966. (Pubitemid 47131675)
-
(2006)
Proceedings - IEEE International Symposium on Circuits and Systems
, pp. 963-966
-
-
Ye, Y.1
Khellah, M.2
Somasekhar, D.3
De, V.4
-
44
-
-
34249809773
-
Design of a process variation tolerant self-repairing SRAM for yield enhancement in nanoscaled CMOS
-
DOI 10.1109/JSSC.2007.897161
-
S. Mukhopadhyay, K. Kim, H. Mahmoodi, and K. Roy, "Design of a process variation tolerant self-repairing SRAM for yield enhancement in nano scaled CMOS," IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1370-1382, Jun. 2007. (Pubitemid 46853245)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.6
, pp. 1370-1382
-
-
Mukhopadhyay, S.1
Kim, K.2
Mahmoodi, H.3
Roy, K.4
-
45
-
-
0031381197
-
Weak write test mode: An SRAM cell stability design for test technique
-
Nov.
-
A. Meixner and J. Banik, "Weak write test mode: An SRAM cell stability design for test technique," in Proc. Int. Test Conf, Nov. 1997, pp. 1043-1052.
-
(1997)
Proc. Int. Test Conf
, pp. 1043-1052
-
-
Meixner, A.1
Banik, J.2
-
46
-
-
52649108802
-
Trading off cache capacity for reliability to enable low voltage operation
-
Jun.
-
C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu, "Trading off cache capacity for reliability to enable low voltage operation," in Proc. 35th Int. Symp. Computer Architecture (ISCA), Jun. 2008, pp. 203-214.
-
(2008)
Proc. 35th Int. Symp. Computer Architecture (ISCA)
, pp. 203-214
-
-
Wilkerson, C.1
Gao, H.2
Alameldeen, A.R.3
Chishti, Z.4
Khellah, M.5
Lu, S.-L.6
|