-
1
-
-
25844527781
-
Low-power embedded SRAM modules with expanded margins for writing
-
Papers, Feb
-
M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, "Low-power embedded SRAM modules with expanded margins for writing," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2005, pp. 480-611.
-
(2005)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
, pp. 480-611
-
-
Yamaoka, M.1
Maeda, N.2
Shinozaki, Y.3
Shimazaki, Y.4
Nii, K.5
Shimada, S.6
Yanagisawa, K.7
Kawahara, T.8
-
2
-
-
31344451652
-
A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply
-
DOI 10.1109/JSSC.2005.859025
-
K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 146-151, Jan. 2006. (Pubitemid 43145972)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 146-151
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
3
-
-
39749201604
-
An SRAM design in 65nm and 45nm technology nodes featuring read and write-assist circuits to expand operating voltage
-
1705289, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler, "An SRAM design in 65 nm and 45 nm technology nodes featuring read and write-assist circuits to expand operating voltage," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2006, pp. 15-16. (Pubitemid 351306250)
-
(2006)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 15-16
-
-
Pilo, H.1
Barwin, J.2
Braceras, G.3
Browning, C.4
Burns, S.5
Gabric, J.6
Lamphier, S.7
Miller, M.8
Roberts, A.9
Towler, F.10
-
4
-
-
85008042429
-
A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations
-
Jan
-
K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino,Y.Yamagami, S. Ishikura,T.Terano, T. Oashi, K.Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 180-191, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 180-191
-
-
Nii, K.1
Yabuuchi, M.2
Tsukamoto, Y.3
Ohbayashi, S.4
Imaoka, S.5
Makino, H.6
Yamagami, Y.7
Ishikura, S.8
Terano, T.9
Oashi, T.10
Hashimoto, K.11
Sebe, A.12
Okazaki, G.13
Satomi, K.14
Akamatsu, H.15
Shinohara, H.16
-
5
-
-
33947613119
-
A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits
-
DOI 10.1109/JSSC.2007.891648
-
S. Ohbayashi, M.Yabuuchi,K.Nii,Y. Tsukamoto, S. Imaoka, Y.Oda, T. Yoshihara, M. Igarashi,M. Takeuchi,H. Kawashima, Y.Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits," IEEE J. Solid-State Circuit, vol. 42, no. 4, pp. 820-829, Apr. 2007. (Pubitemid 46495399)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.4
, pp. 820-829
-
-
Ohbayashi, S.1
Yabuuchi, M.2
Nil, K.3
Tsukamoto, Y.4
Imaoka, S.5
Oda, Y.6
Yoshihara, T.7
Igarashi, M.8
Takeuchi, M.9
Kawashima, H.10
Yamaguchi, Y.11
Tsukamoto, K.12
Inuishi, M.13
Makino, H.14
Ishibashi, K.15
Shinohara, H.16
-
6
-
-
49549090007
-
A 100 nm double-stacked 500 Mhz 72 Mb separate-I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy
-
Papers, Feb
-
K. Sohn, Y.-H. Suh, Y.-J. Son, D.-S. Yim, K.-Y. Kim, D.-G. Bae, T. Kang, H. Lim, S.-M. Jung, H.-G. Byun, Y.-H. Jun, and K. Kim, "A 100 nm double-stacked 500 Mhz 72 Mb separate-I/O synchronous SRAM with automatic cell-bias scheme and adaptive block redundancy," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2008, pp. 386-622.
-
(2008)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech
, pp. 386-622
-
-
Sohn, K.1
Suh, Y.H.2
Son, Y.J.3
Yim, D.S.4
Kim, K.Y.5
Bae, D.G.6
Kang, T.7
Lim, H.8
Jung, S.M.9
Byun, H.G.10
Jun, Y.H.11
Kim, K.12
-
7
-
-
70349271250
-
A process-variation-tolerant dual-power-supply SRAMwith 0.179 cell in 40 nm CMOS using level-programmable wordline driver
-
Papers, Feb
-
O. Hirabayashi, A. Kawasumi, A. Suzuki, Y. Takeyama, K. Kushida, T. Sasaki, A. Katayama, G. Fukano, Y. Fujimura, T. Nakazato, Y. Shizuki, N. Kushiyama, and T. Yabe, "A process-variation-tolerant dual-power-supply SRAMwith 0.179 cell in 40 nm CMOS using level-programmable wordline driver," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2009, pp. 458-459.
-
(2009)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
, pp. 458-459
-
-
Hirabayashi, O.1
Kawasumi, A.2
Suzuki, A.3
Takeyama, Y.4
Kushida, K.5
Sasaki, T.6
Katayama, A.7
Fukano, G.8
Fujimura, Y.9
Nakazato, T.10
Shizuki, Y.11
Kushiyama, N.12
Yabe, T.13
-
8
-
-
52249106671
-
A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses
-
Sep
-
T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, "A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses," IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109-2119, Sep. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.9
, pp. 2109-2119
-
-
Suzuki, T.1
Yamauchi, H.2
Yamagami, Y.3
Satomi, K.4
Akamatsu, H.5
-
9
-
-
37749046808
-
An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment
-
DOI 10.1109/VLSIC.2007.4342741, 4342741, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
Y.Morita, H. Fujiwara, H. Noguchi, and Y. Iguchi, "An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2007, pp. 256-257. (Pubitemid 351306645)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 256-257
-
-
Morita, Y.1
Fujiwara, H.2
Noguchi, H.3
Iguchi, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
11
-
-
49549103577
-
A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
-
Papers
-
I. J. Chang, J. J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, 2008, pp. 388-622.
-
(2008)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
, pp. 388-622
-
-
Chang, I.J.1
Kim, J.J.2
Park, S.P.3
Roy, K.4
-
12
-
-
51949088226
-
A 0.6 v 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD-min VLSIs
-
Papers Jun
-
Y. H. Chen, W. M. Chan, S. Y. Chou, H. J. Liao, H. Y. Pan, J. J. Wu, C. H. Lee, S. M. Yang, Y. C. Liu, and H. Yamauchi, "A 0.6 V 45 nm adaptive dual-rail SRAM compiler circuit design for lower VDD-min VLSIs," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2008, pp. 210-211.
-
(2008)
Symp. VLSI Circuits Dig. Tech
, pp. 210-211
-
-
Chen, Y.H.1
Chan, W.M.2
Chou, S.Y.3
Liao, H.J.4
Pan, H.Y.5
Wu, J.J.6
Lee, C.H.7
Yang, S.M.8
Liu, Y.C.9
Yamauchi, H.10
-
13
-
-
77953238249
-
A 4.2 GHz 0.3 mm 256 Kb dual-V SRAM building block in 65 nm CMOS
-
Papers, Feb
-
M. Khellah, N. S. Kim, J. Howard, G. Ruhl, M. Sunna, Y. Ye, J. Tschanz, D. Somasekhar, N. Borkar, F. Hamzaoglu, G. Pandya, A. Farhang, K. Zhang, and V. De, "A 4.2 GHz 0.3 mm 256 Kb dual-V SRAM building block in 65 nm CMOS," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2006, pp. 2572-2581.
-
(2006)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
, pp. 2572-2581
-
-
Khellah, M.1
Kim, N.S.2
Howard, J.3
Ruhl, G.4
Sunna, M.5
Ye, Y.6
Tschanz, J.7
Somasekhar, D.8
Borkar, N.9
Hamzaoglu, F.10
Pandya, G.11
Farhang, A.12
Zhang, K.13
De, V.14
-
14
-
-
2942659548
-
0.4-V logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme
-
Jun
-
M. Yamaoka, K. Osada, and K. Ishibashi, "0.4-V logic-library- friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme," IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 934-940, Jun. 2004.
-
(2004)
IEEE J. Solid-State Circuits
, vol.39
, Issue.6
, pp. 934-940
-
-
Yamaoka, M.1
Osada, K.2
Ishibashi, K.3
-
15
-
-
33644653243
-
A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment-Sure write operation by using step-down negatively overdriven bitline scheme
-
March
-
N. Shibata, H. Kiya, S. Kurita, H. Okamoto, M. Tan'no, and T. Douseki, "A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment-Sure write operation by using step-down negatively overdriven bitline scheme," IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 728-742, March 2006.
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.3
, pp. 728-742
-
-
Shibata, N.1
Kiya, H.2
Kurita, S.3
Okamoto, H.4
Tan'No, M.5
Douseki, T.6
-
16
-
-
51049094640
-
A 45 nm dual-port SRAM with write and read capability enhancement at low voltage
-
Sep.
-
D. P.Wang,H. J. Liao, H. Yamauchi,Y.H. Chen, Y. L. Lin, S. H. Lin, D. C. Liu, H. C. Chang, and W. Hwang, "A 45 nm dual-port SRAM with write and read capability enhancement at low voltage," in Proc. IEEE Int. SOC Conf., Sep. 2007, pp. 211-214.
-
(2007)
Proc. IEEE Int. SOC Conf.
, pp. 211-214
-
-
Wang, D.P.1
Liao, H.J.2
Yamauchi, H.3
Chen, Y.H.4
Lin, Y.L.5
Lin, S.H.6
Liu, D.C.7
Chang, H.C.8
Hwang, W.9
-
17
-
-
33846259499
-
Wordline & bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65nm CMOS designs
-
1705286, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
M. Khellah, Y. Ye, N. S. Kim, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, and V. De, "Wordline & bitline pulsing schemes for improving SRAM cell stability in low-Vcc 65 nm CMOS designs," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2006, pp. 9-10. (Pubitemid 351306247)
-
(2006)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 9-10
-
-
Khellah, M.1
Ye, Y.2
Kim, N.S.3
Somasekhar, D.4
Pandya, G.5
Farhang, A.6
Zhang, K.7
Webb, C.8
De, V.9
-
18
-
-
63449132966
-
A 0.7 v single-supply SRAM with 0.495 cell in 65 nm Technology utilizing self-write-back sense amplifier and cascaded bit line scheme
-
Apr
-
K. Kushida, K. Kushida, A. Suzuki, G. Fukano, A. Kawasumi, O. Hirabayashi, Y. Takeyama, T. Sasaki, A. Katayama, Y. Fujimura, and T. Yabe, "A 0.7 V single-supply SRAM with 0.495 cell in 65 nm Technology utilizing self-write-back sense amplifier and cascaded bit line scheme," IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1192-1198, Apr. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.4
, pp. 1192-1198
-
-
Kushida, K.1
Kushida, K.2
Suzuki, A.3
Fukano, G.4
Kawasumi, A.5
Hirabayashi, O.6
Takeyama, Y.7
Sasaki, T.8
Katayama, A.9
Fujimura, Y.10
Yabe, T.11
-
19
-
-
77952194725
-
A 0.5 v 100 MHz PD-SOI with enhanced read stability and write margin by asymmetric MOSFET and forward body bias
-
Papers, Feb (halo)
-
K. Nii et al., "A 0.5 V 100 MHz PD-SOI with enhanced read stability and write margin by asymmetric MOSFET and forward body bias," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2010, pp. 356-357, (halo).
-
(2010)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech.
, pp. 356-357
-
-
Nii, K.1
-
20
-
-
71049148457
-
Post-fabrication self-convergence scheme for suppressing variability inSRAM cells and logic transistors
-
Jun
-
M. Suzuki et al., "Post-fabrication self-convergence scheme for suppressing variability inSRAM cells and logic transistors," in Symp. VLSI Technology Dig. Tech. Papers, Jun. 2009, pp. 148-149.
-
(2009)
Symp. VLSI Technology Dig. Tech. Papers
, pp. 148-149
-
-
Suzuki, M.1
-
21
-
-
80052054758
-
Improvement of read margin and its distribution by Vth mismatch self-repair in 6T-SRAM with asymmetric pass gate transistor formed by post-process local electron injection
-
Sep.
-
K. Miyaji et al., "Improvement of read margin and its distribution by Vth mismatch self-repair in 6T-SRAM with asymmetric pass gate transistor formed by post-process local electron injection," IEEE J. Solid-State Circuits, vol. 46, no. 9, pp. 2180-2188, Sep. 2011.
-
(2011)
IEEE J. Solid-State Circuits
, vol.46
, Issue.9
, pp. 2180-2188
-
-
Miyaji, K.1
-
22
-
-
84859951825
-
Endurance-aware circuit designs of nonvolatile logic and nonvolatile SRAM using resistive memory (Memristor) device
-
Jan
-
M.-F. Chang et al., "Endurance-aware circuit designs of nonvolatile logic and nonvolatile SRAM using resistive memory (Memristor) device," in Proc. 2012 IEEE Asia South Pacific Design Automation Conf. (ASP-DAC), Jan. 2012, pp. 329-334.
-
(2012)
Proc. 2012 IEEE Asia South Pacific Design Automation Conf. (ASP-DAC)
, pp. 329-334
-
-
Chang, M.-F.1
-
23
-
-
31344473488
-
A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications
-
DOI 10.1109/JSSC.2005.859030
-
K. Takeda et al., "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 113-121, Jan. 2006. (Pubitemid 43145968)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 113-121
-
-
Takeda, K.1
Hagihara, Y.2
Aimoto, Y.3
Nomura, M.4
Nakazawa, Y.5
Ishii, T.6
Kobatake, H.7
-
24
-
-
33644640188
-
Stable SRAM cell design for the 32 nm node and beyond
-
DOI 10.1109/.2005.1469239, 1469239, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
L. Chang et al., "Stable SRAM Cell Design for the 32 nm Node and Beyond," in Symp. VLSI Technology. Dig. Tech. Papers, Jun. 2005, pp. 128-129. (Pubitemid 43897595)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 128-129
-
-
Chang, L.1
Fried, D.M.2
Hergenrother, J.3
Sleight, J.W.4
Dennard, R.H.5
Montoye, R.K.6
Sekaric, L.7
McNab, S.J.8
Topol, A.W.9
Adams, C.D.10
Guarini, K.W.11
Haensch, W.12
-
25
-
-
39749119399
-
A 45nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous RAV access issues
-
DOI 10.1109/VLSIC.2007.4342740, 4342740, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
S. Ishikura et al., "A 45 nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous R/W access issues," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2007, pp. 254-255. (Pubitemid 351306644)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 254-255
-
-
Ishikura, S.1
Kurumada, M.2
Terano, T.3
Yamagami, Y.4
Kotani, N.5
Satomi, K.6
Nii, K.7
Yabuuchi, M.8
Tsukamoto, Y.9
Ohbayashi, S.10
Oashi, T.11
Makino, H.12
Shinohara, H.13
Akamatsu, H.14
-
26
-
-
39749154813
-
6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM
-
DOI 10.1109/VLSIC.2007.4342738, 4342738, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers
-
R. Joshi et al., " low Vmin, read and half select disturb-free 1.2 Mb SRAM," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2007, pp. 250-251. (Pubitemid 351306642)
-
(2007)
IEEE Symposium on VLSI Circuits, Digest of Technical Papers
, pp. 250-251
-
-
Joshi, R.1
Houle, R.2
Batson, K.3
Rodko, D.4
Patel, P.5
Huott, W.6
Franch, R.7
Chan, Y.8
Plass, D.9
Wilson, S.10
Wang, P.11
-
27
-
-
41549129905
-
An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches
-
Apr
-
L. Chang et al., "An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 956-963, Apr. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.4
, pp. 956-963
-
-
Chang, L.1
-
28
-
-
67649651691
-
A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode
-
T.-H. Kim, J. Liu, and C. H. Kim, "A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode," IEEE J. Solid-State Circuits, vol. 44, no. 6, pp. 1785-1795, 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.6
, pp. 1785-1795
-
-
Kim, T.-H.1
Liu, J.2
Kim, C.H.3
-
29
-
-
79953214888
-
A Large tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme
-
Apr.
-
J.-J. Wu, Y.-H. Chen, M.-F. Chang, P.-W. Chou, C.-Y. Chen, H.-J. Liao, M.-B. Chen, Y.-H. Chu, W.-C. Wu, and H. Yamauchi, "A Large tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme," IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 815-827, Apr. 2011.
-
(2011)
IEEE J. Solid-State Circuits
, vol.46
, Issue.4
, pp. 815-827
-
-
Wu, J.-J.1
Chen, Y.-H.2
Chang, M.-F.3
Chou, P.-W.4
Chen, C.-Y.5
Liao, H.-J.6
Chen, M.-B.7
Chu, Y.-H.8
Wu, W.-C.9
Yamauchi, H.10
-
30
-
-
41549118603
-
Characterization of a novel nine-transistor SRAM cell
-
DOI 10.1109/TVLSI.2007.915499, 4448987
-
Z. Liu and V. Kursun, "Characterization of a novel nine-transistor SRAM Cell," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 4, pp. 488-492, Apr. 2008. (Pubitemid 351467531)
-
(2008)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.16
, Issue.4
, pp. 488-492
-
-
Liu, Z.1
Kursun, V.2
-
31
-
-
47649109815
-
A 100 MHz to 1 GHz, 0.35V to 1.5Vsupply 256 64 SRAMblock using symmetrized 9T SRAM cell with controlled read
-
Jan
-
S. A. Verkila, S. K. Bondada, and B. S. Amrutur, "A 100 MHz to 1 GHz, 0.35V to 1.5Vsupply 256 64 SRAMblock using symmetrized 9T SRAM cell with controlled read," in Proc. Conf. VLSI Design, Jan. 2008, pp. 560-565.
-
(2008)
Proc. Conf. VLSI Design
, pp. 560-565
-
-
Verkila, S.A.1
Bondada, S.K.2
Amrutur, B.S.3
-
32
-
-
79551573138
-
A 130 mV SRAM with expanded write and read margins for subthreshold applications
-
Feb.
-
M.-F. Chang, S.-W. Chang, P.-W. Chou, and W.-C. Wu, "A 130 mV SRAM with expanded write and read margins for subthreshold applications," IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. 520-529, Feb. 2011.
-
(2011)
IEEE J. Solid-State Circuits
, vol.46
, Issue.2
, pp. 520-529
-
-
Chang, M.-F.1
Chang, S.-W.2
Chou, P.-W.3
Wu, W.-C.4
-
34
-
-
36349002766
-
A 10T non-precharge two-port SRAM for 74% power reduction in video processing
-
DOI 10.1109/ISVLSI.2007.2, 4208902, Proceedings - IEEE Computer Society Annual Symposium on VLSI: Emerging VLSI Technologies and Architectures
-
H. Noguchi et al., "A 10T non-precharge two-port SRAM for 74% power reduction in video processing," in IEEE Computer Society Annual Symp. VLSI Circuits (ISVLSI) Dig. Tech. Papers,March 2007, pp. 107-112. (Pubitemid 350147986)
-
(2007)
Proceedings - IEEE Computer Society Annual Symposium on VLSI: Emerging VLSI Technologies and Architectures
, pp. 107-112
-
-
Noguchi, H.1
Iguchi, Y.2
Fujiwara, H.3
Morita, Y.4
Nii, K.5
Kawaguchi, H.6
Yoshimoto, M.7
-
35
-
-
34548813602
-
A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme
-
Papers, Feb
-
T.-H. Kim, J. Liu, J. Keane, and C. H. Kim, "A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme," in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2007, pp. 330-606.
-
(2007)
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech
, pp. 330-606
-
-
Kim, T.-H.1
Liu, J.2
Keane, J.3
Kim, C.H.4
-
36
-
-
34748830993
-
A 160 mV robust schmitt trigger based subthreshold SRAM
-
DOI 10.1109/JSSC.2007.897148
-
J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV robust schmitt trigger based subthreshold SRAM," IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303-2313, Oct. 2007. (Pubitemid 47483011)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.10
, pp. 2303-2313
-
-
Kulkarni, J.P.1
Kim, K.2
Roy, K.3
-
37
-
-
33749524067
-
An ultra-low-power memory with a subthreshold power supply voltage
-
DOI 10.1109/JSSC.2006.881549, 1703689
-
J. Chen, L. T. Clark, and T.-H. Chen, "An ultra-low-power memory with a subthreshold power supply voltage," IEEE J. Solid-State Circuits, vol. 41, no. 10, pp. 2344-2353, Oct. 2006. (Pubitemid 44523672)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.10
, pp. 2344-2353
-
-
Chen, J.1
Clark, L.T.2
Chen, T.-H.3
-
38
-
-
84866615078
-
A 260 mV L-shaped 7T SRAM with Bit-Line (BL) swing expansion schemes based on boosted BL, asymmetric-V read-port, and offset cell VDD biasing techniques
-
Papers, Jun
-
M. B. Chen, L. F. Chen, M.-F. Chang, S.-M. Yang, Y.-J. Kuo, J.-J. Wu, M.-S. Ho, H.-Y. Su, Y.-H. Chu, W.-C. Wu, T.-Y. Yang, and H. Yamauchi, "A 260 mV L-shaped 7T SRAM with Bit-Line (BL) swing expansion schemes based on boosted BL, asymmetric-V read-port, and offset cell VDD biasing techniques," in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2012, pp. 112-113.
-
(2012)
Symp. VLSI Circuits Dig. Tech.
, pp. 112-113
-
-
Chen, M.B.1
Chen, L.F.2
Chang, M.-F.3
Yang, S.-M.4
Kuo, Y.-J.5
Wu, J.-J.6
Ho, M.-S.7
Su, H.-Y.8
Chu, Y.-H.9
Wu, W.-C.10
Yang, T.-Y.11
Yamauchi, H.12
-
39
-
-
84873313006
-
Low-voltage embedded NAND-ROM macros using data-aware sensing reference scheme for speed and power improvement
-
Feb.
-
S.-M. Yang and M.-F. Chang et al., "Low-voltage embedded NAND-ROM macros using data-aware sensing reference scheme for speed and power improvement," IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 611-623, Feb. 2013.
-
(2013)
IEEE J. Solid-State Circuits
, vol.48
, Issue.2
, pp. 611-623
-
-
Yang, S.-M.1
Chang, M.-F.2
-
40
-
-
84874661685
-
A high-speed 7.2-ns read-write random access 4-Mb embedded resistive RAM (ReRAM) macro using process-variation-tolerant current-mode read schemes
-
March
-
M.-F. Chang et al., "A high-speed 7.2-ns read-write random access 4-Mb embedded resistive RAM (ReRAM) macro using process-variation-tolerant current-mode read schemes," IEEE J. Solid-State Circuits, vol. 48, no. 3, pp. 878-891, March 2013.
-
(2013)
IEEE J. Solid-State Circuits
, vol.48
, Issue.3
, pp. 878-891
-
-
Chang, M.-F.1
|