-
1
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
Jan.
-
L. Benini and G. D. Micheli, "Networks on chips: A new SoC paradigm," IEEE Comput., vol. 35, no. 1, pp. 70-78, Jan. 2002.
-
(2002)
IEEE Comput.
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
Micheli, G.D.2
-
2
-
-
27344444925
-
A router architecture for connectionoriented service guarantees in the MANGO clockless network-on-chip
-
T. Bjerregaard and J. Sparso, "A router architecture for connectionoriented service guarantees in the MANGO clockless network-on-chip," in Proc. Des. Autom. Test Eur., 2005, pp. 1226-1231.
-
(2005)
Proc. Des. Autom. Test Eur.
, pp. 1226-1231
-
-
Bjerregaard, T.1
Sparso, J.2
-
3
-
-
84893763875
-
A general framework for analysing system properties in platform-based embedded system designs
-
S. Chakraborty, S. Kunzli, and L. Thiele, "A general framework for analysing system properties in platform-based embedded system designs," in Proc. Des. Autom. Test Eur., Munich, Germany, 2003, pp. 190-195.
-
(2003)
Proc. Des. Autom. Test Eur., Munich, Germany
, pp. 190-195
-
-
Chakraborty, S.1
Kunzli, S.2
Thiele, L.3
-
5
-
-
84879874685
-
Dynamic voltage and frequency scaling for shared resources in multicore processor designs
-
Austin, TX, USA, May/Jun.
-
X. Chen et al., "Dynamic voltage and frequency scaling for shared resources in multicore processor designs," in Proc. 50th ACM/EDAC/IEEE Des. Autom. Conf., Austin, TX, USA, May/Jun. 2013, p. 114.
-
(2013)
Proc. 50th ACM/EDAC/IEEE Des. Autom. Conf.
, pp. 114
-
-
Chen, X.1
-
6
-
-
77954500940
-
The even/odd synchronizer: A fast, all-digital, periodic synchronizer
-
Grenoble, France
-
W. Dally and S. Tell, "The even/odd synchronizer: A fast, all-digital, periodic synchronizer," in Proc. IEEE Symp. Asynchronous Circuits Syst. (ASYNC), Grenoble, France, 2010, pp. 75-84.
-
(2010)
Proc IEEE Symp. Asynchronous Circuits Syst. (ASYNC)
, pp. 75-84
-
-
Dally, W.1
Tell, S.2
-
7
-
-
0034848112
-
Route packets, not wires: On-chip interconnection networks
-
W. J. Dally and B. Towles, "Route packets, not wires: On-chip interconnection networks," in Proc. Des. Autom. Conf., 2001, pp. 684-689.
-
(2001)
Proc. Des. Autom. Conf.
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
8
-
-
4043097206
-
-
San Francisco, CA, USA: Morgan Kaufmann
-
W. J. Dally and B. Towles, Eds., Principles and Pracitices of Interconnection Networks. San Francisco, CA, USA: Morgan Kaufmann, 2003, pp. 245-247.
-
(2003)
Principles and Pracitices of Interconnection Networks
, pp. 245-247
-
-
Dally, W.J.1
Towles, B.2
-
9
-
-
77954985868
-
Aergia: Exploiting packet latency slack in on-chip networks
-
R. Das, O. Mutlu, T. Moscibroda, and C. R. Das, "Aergia: Exploiting packet latency slack in on-chip networks," in Proc. Int. Symp. Comput. Archit., 2010, pp. 106-116.
-
(2010)
Proc. Int. Symp. Comput. Archit.
, pp. 106-116
-
-
Das, R.1
Mutlu, O.2
Moscibroda, T.3
Das, C.R.4
-
11
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
H. Esmaeilzadeh, E. Blem, R. S. Amant, K. Sankaralingam, and D. Burger, "Dark silicon and the end of multicore scaling," in Proc. Int. Symp. Comput. Archit., 2011, pp. 365-376.
-
(2011)
Proc. Int. Symp. Comput. Archit.
, pp. 365-376
-
-
Esmaeilzadeh, H.1
Blem, E.2
Amant, R.S.3
Sankaralingam, K.4
Burger, D.5
-
12
-
-
27344456043
-
Thereal network on chip: Concepts, architectures, and implementations
-
Sep./Oct.
-
K. Goossens, J. Dielissen, and A. Radulescu, "Æthereal network on chip: Concepts, architectures, and implementations," IEEE Des. Test Comput., vol. 22, no. 5, pp. 414-421, Sep./Oct. 2005.
-
(2005)
IEEE Des. Test Comput.
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Radulescu, A.3
-
13
-
-
77956202439
-
The Æthereal network on chip after ten years: Goals, evolution, lessons, and future
-
K. Goossens and A. Hansson, "The Æthereal network on chip after ten years: Goals, evolution, lessons, and future," in Proc. Des. Autom. Conf., 2010, pp. 306-311.
-
(2010)
Proc. Des. Autom. Conf.
, pp. 306-311
-
-
Goossens, K.1
Hansson, A.2
-
14
-
-
79953801328
-
Greendroid: A mobile application processor for a future of dark silicon
-
Aug.
-
N. Goulding et al., "Greendroid: A mobile application processor for a future of dark silicon," Hot Chips, vol. 22, Aug. 2010.
-
(2010)
Hot Chips
, vol.22
-
-
Goulding, N.1
-
15
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
Sep./Oct.
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-GHz mesh interconnect for a teraflops processor," IEEE Micro, vol. 27, no. 5, pp. 51-61, Sep./Oct. 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
16
-
-
84872950245
-
Application-driven end-toend traffic predictions for low power NoC design
-
Feb.
-
Y.-C. Huang, K.-K. Chou, and C.-T. King, "Application-driven end-toend traffic predictions for low power NoC design," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 2, pp. 229-238, Feb. 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.2
, pp. 229-238
-
-
Huang, Y.-C.1
Chou, K.-K.2
King, C.-T.3
-
17
-
-
79957511819
-
-
Palo Alto, CA, USA: Standford Univ. Press
-
N. Jiang, G. Michelogiannakis, D. Becker, B. Towles, and W. J. Dally, BookSim 2.0 User's Guide. Palo Alto, CA, USA: Standford Univ. Press, 2010.
-
(2010)
BookSim 2.0 User's Guide
-
-
Jiang, N.1
Michelogiannakis, G.2
Becker, D.3
Towles, B.4
Dally, W.J.5
-
18
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
Salt Lake City, UT, USA
-
W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks, "System level analysis of fast, per-core DVFS using on-chip switching regulators," in Proc. Int. Symp. High-Perform. Comput. Arch., Salt Lake City, UT, USA, 2008, pp. 123-134.
-
(2008)
Proc. Int. Symp. High-Perform. Comput. Arch.
, pp. 123-134
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.-Y.3
Brooks, D.4
-
19
-
-
0036911921
-
Managing power and performance for system-on-chip designs using voltage islands
-
D. Lackey et al., "Managing power and performance for system-on-chip designs using voltage islands," in Proc. Int. Conf. Comput.-Aided Des., 2002, pp. 195-202.
-
(2002)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 195-202
-
-
Lackey, D.1
-
21
-
-
52649094492
-
Globally-synchronized frames for guaranteed quality-of-service in on-chip networks
-
Beijing, China
-
J. W. Lee, M. C. Ng, and K. Asanovic, "Globally-synchronized frames for guaranteed quality-of-service in on-chip networks," in Proc. Int. Symp. Comput. Arch., Beijing, China, 2008, pp. 89-100.
-
(2008)
Proc. Int. Symp. Comput. Arch.
, pp. 89-100
-
-
Lee, J.W.1
Ng, M.C.2
Asanovic, K.3
-
22
-
-
78650814177
-
The 48-core SCC processor: The programmer's view
-
New Orleans, LA, USA, Nov.
-
T. G. Mattson et al., "The 48-core SCC processor: The programmer's view," in Proc. Int. Conf. High Perform. Comput. Netw. Stor. Anal., New Orleans, LA, USA, Nov. 2010, pp. 1-11.
-
(2010)
Proc. Int. Conf. High Perform. Comput. Netw. Stor. Anal.
, pp. 1-11
-
-
Mattson, T.G.1
-
23
-
-
76749088475
-
A case for dynamic frequency tuning in on-chip networks
-
New York, NY, USA
-
A. K. Mishra et al., "A case for dynamic frequency tuning in on-chip networks," in Proc. Int. Symp. Microarchit., New York, NY, USA, 2009, pp. 292-303.
-
(2009)
Proc. Int. Symp. Microarchit.
, pp. 292-303
-
-
Mishra, A.K.1
-
24
-
-
84861443594
-
Speed and voltage selection for GALS systems based on voltage/frequency islands
-
K. Niyogi and D. Marculescu, "Speed and voltage selection for GALS systems based on voltage/frequency islands," in Proc. Asia South Pac. Des. Autom. Conf., 2005, pp. 292-297.
-
(2005)
Proc. Asia South Pac. Des. Autom. Conf.
, pp. 292-297
-
-
Niyogi, K.1
Marculescu, D.2
-
25
-
-
84861443594
-
Speed and voltage selection for GALS systems based on voltage/frequency islands
-
K. Niyogi and D. Marculescu, "Speed and voltage selection for GALS systems based on voltage/frequency islands," in Proc. Asia South Pac. Des. Autom. Conf., 2005, pp. 292-297.
-
(2005)
Proc. Asia South Pac. Des. Autom. Conf.
, pp. 292-297
-
-
Niyogi, K.1
Marculescu, D.2
-
26
-
-
34547254666
-
Voltagefrequency island partitioning for GALS-based networks-on-chip
-
U. Y. Ogras, R. Marculescu, P. Choudhary, and D. Marculescu, "Voltagefrequency island partitioning for GALS-based networks-on-chip," in Proc. Des. Autom. Conf., 2007, pp. 110-115.
-
(2007)
Proc. Des. Autom. Conf.
, pp. 110-115
-
-
Ogras, U.Y.1
Marculescu, R.2
Choudhary, P.3
Marculescu, D.4
-
27
-
-
63149129753
-
Design and management of voltage-frequency island partitioned networks-onchip
-
Mar.
-
U. Y. Ogras, R. Marculescu, D. Marculescu, and E. G. Jung, "Design and management of voltage-frequency island partitioned networks-onchip," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 3, pp. 330-341, Mar. 2009.
-
(2009)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.17
, Issue.3
, pp. 330-341
-
-
Ogras, U.Y.1
Marculescu, R.2
Marculescu, D.3
Jung, E.G.4
-
28
-
-
79951703135
-
LOFT: A high performance network-on-chip providing quality-of-service support
-
Atlanta, GA, USA
-
J. Ouyang and Y. Xie, "LOFT: A high performance network-on-chip providing quality-of-service support," in Proc. Int. Symp. Microarchit., Atlanta, GA, USA, 2010, pp. 409-420.
-
(2010)
Proc. Int. Symp. Microarchit.
, pp. 409-420
-
-
Ouyang, J.1
Xie, Y.2
-
29
-
-
64549140251
-
Analysis of communication delay bounds for network on chips
-
Yokohama, Japan
-
Y. Qian, Z. Lu, and W. Dou, "Analysis of communication delay bounds for network on chips," in Proc. Asia South Pac. Des. Autom. Conf., Yokohama, Japan, 2009, pp. 7-12.
-
(2009)
Proc. Asia South Pac. Des. Autom. Conf.
, pp. 7-12
-
-
Qian, Y.1
Lu, Z.2
Dou, W.3
-
30
-
-
70349820803
-
Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip
-
San Diego, CA, USA
-
Y. Qian, Z. Lu, and W. Dou, "Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip," in Proc. 3rd ACM/IEEE Int. Symp. Netw. Chip (NOCS), San Diego, CA, USA, 2009, pp. 44-53.
-
(2009)
Proc. 3rd ACM/IEEE Int. Symp. Netw. Chip (NOCS)
, pp. 44-53
-
-
Qian, Y.1
Lu, Z.2
Dou, W.3
-
31
-
-
77951675199
-
Analysis of worst-case delay bounds for on-chip packet-switching networks
-
May
-
Y. Qian, Z. Lu, and W. Dou, "Analysis of worst-case delay bounds for on-chip packet-switching networks," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 29, no. 5, pp. 802-815, May 2010.
-
(2010)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.29
, Issue.5
, pp. 802-815
-
-
Qian, Y.1
Lu, Z.2
Dou, W.3
-
33
-
-
0345272496
-
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
-
G. Semeraro et al., "Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling," in Proc. Int. Symp. High-Perform. Comput. Archit., 2002, pp. 29-40.
-
(2002)
Proc. Int. Symp. High-Perform. Comput. Archit.
, pp. 29-40
-
-
Semeraro, G.1
-
34
-
-
84955452760
-
Dynamic voltage scaling with links for power optimization of interconnection networks
-
L. Shang, L.-S. Peh, and N. K. Jha, "Dynamic voltage scaling with links for power optimization of interconnection networks," in Proc. 9th Int. Symp. High-Perform. Comput. Archit., 2003, pp. 91-102.
-
(2003)
Proc. 9th Int. Symp. High-Perform. Comput. Archit.
, pp. 91-102
-
-
Shang, L.1
Peh, L.-S.2
Jha, N.K.3
-
35
-
-
77953093269
-
Feedback control for providing QoS in NoC based multicores
-
Dresden, Germany
-
A. Sharifi, H. Zhao, and M. Kandemir, "Feedback control for providing QoS in NoC based multicores," in Proc. Des. Autom. Test Eur., Dresden, Germany, 2010, pp. 1384-1389.
-
(2010)
Proc. Des. Autom. Test Eur.
, pp. 1384-1389
-
-
Sharifi, A.1
Zhao, H.2
Kandemir, M.3
-
36
-
-
84862740379
-
DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
-
C. Sun et al., "DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling," in Proc. 6th IEEE/ACM Int. Symp. Netw. Chip (NoCS), 2012, pp. 201-210.
-
(2012)
Proc. 6th IEEE/ACM Int. Symp. Netw. Chip (NoCS)
, pp. 201-210
-
-
Sun, C.1
-
37
-
-
84863554397
-
Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse
-
San Francisco, CA, USA
-
M. B. Taylor, "Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse," in Proc. 49th ACM/EDAC/IEEE Des. Autom. Conf., San Francisco, CA, USA, 2012, pp. 1131-1136.
-
(2012)
Proc. 49th ACM/EDAC/IEEE Des. Autom. Conf.
, pp. 1131-1136
-
-
Taylor, M.B.1
-
38
-
-
0036505033
-
The Raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
Mar./Apr.
-
M. B. Taylor et al., "The Raw microprocessor: A computational fabric for software circuits and general-purpose programs," IEEE Micro, vol. 22, no. 2, pp. 25-35, Mar./Apr. 2002.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.B.1
-
40
-
-
77956211943
-
PreDVS: Preemptive dynamic voltage scaling for real-time systems using approximation scheme
-
Anaheim, CA, USA, Jun.
-
W. Wang and P. Mishra, "PreDVS: Preemptive dynamic voltage scaling for real-time systems using approximation scheme," in Proc. 47th ACM/IEEE Des. Autom. Conf. (DAC), Anaheim, CA, USA, Jun. 2010, pp. 705-710.
-
(2010)
Proc. 47th ACM/IEEE Des. Autom. Conf. (DAC)
, pp. 705-710
-
-
Wang, W.1
Mishra, P.2
-
41
-
-
80052672484
-
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems
-
New York, NY, USA
-
W. Wang, P. Mishra, and S. Ranka, "Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems," in Proc. 48th ACM/EDAC/IEEE Des. Autom. Conf., New York, NY, USA, 2011, pp. 948-953.
-
(2011)
Proc. 48th ACM/EDAC/IEEE Des. Autom. Conf.
, pp. 948-953
-
-
Wang, W.1
Mishra, P.2
Ranka, S.3
-
42
-
-
80052729005
-
NoC frequency scaling with flexible-pipeline routers
-
Fukuoka, Japan
-
P. Zhou, J. Yin, A. Zhai, and S. S. Sapatnekar, "NoC frequency scaling with flexible-pipeline routers," in Proc. Int. Symp. Low Power Electron. Des. (ISLPED), Fukuoka, Japan, 2011, pp. 403-408.
-
(2011)
Proc. Int. Symp. Low Power Electron. Des. (ISLPED)
, pp. 403-408
-
-
Zhou, P.1
Yin, J.2
Zhai, A.3
Sapatnekar, S.S.4
|