-
1
-
-
70350075849
-
A highly resilient routing algorithm for fault-tolerant NoCs
-
Apr
-
D. Fick, A. DeOrio, V. Bertacco, D. Sylvester, and D. Blaauw, "A highly resilient routing algorithm for fault-tolerant NoCs," in Proc. DATE, Apr. 2009, pp. 21-26.
-
(2009)
Proc. DATE
, pp. 21-26
-
-
Fick, D.1
DeOrio, A.2
Bertacco, V.3
Sylvester, D.4
Blaauw, D.5
-
2
-
-
70350721929
-
Vicis: A reliable network for unreliable silicon
-
Jul
-
D. Fick, A. DeOrio, J. Hu, V. Bertacco, D. Blaauw, and D. Sylvester, "Vicis: A reliable network for unreliable silicon," in Proc. DAC, Jul. 2009, pp. 812-817.
-
(2009)
Proc. DAC
, pp. 812-817
-
-
Fick, D.1
DeOrio, A.2
Hu, J.3
Bertacco, V.4
Blaauw, D.5
Sylvester, D.6
-
3
-
-
78650814177
-
The 48-core SCC processor: The programmer's view
-
Nov
-
T. G. Mattson, R. F. Van der Wijngaart, M. Riepen, T. Lehnig, P. Brett, W. Haas, P. Kennedy, J. Howard, S. Vangal, N. Borkar, G. Ruhl, and S. Dighe, "The 48-core SCC processor: The programmer's view," in Proc. SC, Nov. 2010, pp. 1-11.
-
(2010)
Proc. SC
, pp. 1-11
-
-
Mattson, T.G.1
Van Der Wijngaart, R.F.2
Riepen, M.3
Lehnig, T.4
Brett, P.5
Haas, W.6
Kennedy, P.7
Howard, J.8
Vangal, S.9
Borkar, N.10
Ruhl, G.11
Dighe, S.12
-
4
-
-
49549108733
-
TILE64 processor: A 64-core SoC with mesh interconnect
-
Feb
-
S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, L. Bao, J. Brown, M. Mattina, C.-C. Miao, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook, "TILE64 processor: A 64-core SoC with mesh interconnect," in Proc. ISSCC, Feb. 2008, pp. 88-598.
-
(2008)
Proc. ISSCC
, pp. 88-598
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
MacKay, J.7
Reif, M.8
Bao, L.9
Brown, J.10
Mattina, M.11
Miao, C.-C.12
Ramey, C.13
Wentzlaff, D.14
Anderson, W.15
Berger, E.16
Fairbanks, N.17
Khan, D.18
Montenegro, F.19
Stickney, J.20
Zook, J.21
more..
-
5
-
-
85008053864
-
An 80-tile sub-100-w teraFLOPS processor in 65-nm CMOS
-
Jan
-
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar, "An 80-tile sub-100-w teraFLOPS processor in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 29-41, Jan. 2008.
-
(2008)
IEEE J. Solid-State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.R.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
7
-
-
84943681390
-
A survey of wormhole routing techniques in direct networks
-
Feb
-
L. M. Ni and P. K. McKinley, "A survey of wormhole routing techniques in direct networks," IEEE Comput., vol. 26, no. 2, pp. 62-76, Feb. 1993.
-
(1993)
IEEE Comput.
, vol.26
, Issue.2
, pp. 62-76
-
-
Ni, L.M.1
McKinley, P.K.2
-
8
-
-
4444314731
-
Reliable communication in systems on chips
-
Jul
-
G. D. Micheli, "Reliable communication in systems on chips," in Proc. DAC, Jul. 2004, p. 77.
-
(2004)
Proc. DAC
, pp. 77
-
-
Micheli, G.D.1
-
10
-
-
4544227478
-
The impact of technology scaling on lifetime reliability
-
Jun.-Jul
-
J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, "The impact of technology scaling on lifetime reliability," in Proc. DSN, Jun.-Jul. 2004, pp. 177-186.
-
(2004)
Proc. DSN
, pp. 177-186
-
-
Srinivasan, J.1
Adve, S.V.2
Bose, P.3
Rivers, J.A.4
-
11
-
-
33845420096
-
Living with failure: Lessons from nature?" in
-
May
-
S. Furber, "Living with failure: Lessons from nature?" in Proc. ETS, May 2006, pp. 4-8.
-
(2006)
Proc. ETS
, pp. 4-8
-
-
Furber, S.1
-
12
-
-
80052042427
-
Microprocessors in the era of terascale integration
-
Apr
-
S. Borkar, N. P. Jouppi, and P. Stenstrom, "Microprocessors in the era of terascale integration," in Proc. DATE, Apr. 2007, pp. 1-6.
-
(2007)
Proc. DATE
, pp. 1-6
-
-
Borkar, S.1
Jouppi, N.P.2
Stenstrom, P.3
-
13
-
-
77953101933
-
Fault-based attack to RSA authentication
-
Mar
-
A. Pellegrini, V. Bertacco, and T. Austin, "Fault-based attack to RSA authentication," in Proc. DATE, Mar. 2010, pp. 855-860.
-
(2010)
Proc. DATE
, pp. 855-860
-
-
Pellegrini, A.1
Bertacco, V.2
Austin, T.3
-
14
-
-
0042694524
-
Reliability of ultrathin oxides in CMOS circuits
-
J. H. Stathis, B. P. Linder, R. Rodrguez, and S. Lombardo, "Reliability of ultrathin oxides in CMOS circuits," Microelectron. Reliab., vol. 43, nos. 9-11, pp. 1353-1360, 2003.
-
(2003)
Microelectron. Reliab.
, vol.43
, Issue.9-11
, pp. 1353-1360
-
-
Stathis, J.H.1
Linder, B.P.2
Rodrguez, R.3
Lombardo, S.4
-
15
-
-
10044241027
-
A critical examination of the mechanics of dynamic NBTI for PMOSFETs
-
Dec
-
M. Alam, "A critical examination of the mechanics of dynamic NBTI for PMOSFETs," in Proc. IDEM, Dec. 2003, pp. 14.4.1-14.4.4.
-
(2003)
Proc. IDEM
, pp. 1441-1444
-
-
Alam, M.1
-
16
-
-
0020304389
-
Electromigration-induced failures in VLSI interconnects
-
Mar
-
P. B. Ghate, "Electromigration-induced failures in VLSI interconnects," in Proc. Reliab. Phys. Symp., Mar. 1982, pp. 292-299.
-
(1982)
Proc. Reliab. Phys. Symp.
, pp. 292-299
-
-
Ghate, P.B.1
-
18
-
-
34047143799
-
A concurrent testing method for NoC switches
-
Mar
-
M. Hosseinabady, A. Banaiyan, M. N. Bojnordi, and Z. Navabi, "A concurrent testing method for NoC switches," in Proc. DATE, Mar. 2006, p. 6.
-
(2006)
Proc. DATE
, pp. 6
-
-
Hosseinabady, M.1
Banaiyan, A.2
Bojnordi, M.N.3
Navabi, Z.4
-
19
-
-
64949106591
-
Online network-onchip switch fault detection and diagnosis using functional switch faults
-
N. Karimi, A. Alaghi, M. Sedghi, and Z. Navabi, "Online network-onchip switch fault detection and diagnosis using functional switch faults," J. Universal Comput. Sci., vol. 14, no. 22, pp. 3716-3736, 2008.
-
(2008)
J. Universal Comput. Sci.
, vol.14
, Issue.22
, pp. 3716-3736
-
-
Karimi, N.1
Alaghi, A.2
Sedghi, M.3
Navabi, Z.4
-
20
-
-
0036292677
-
SafetyNet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
D. Sorin, M. Martin, M. Hill, and D. Wood, "SafetyNet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery, " in Proc. ISCA, 2002, pp. 123-134. (Pubitemid 34691856)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 123-134
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
21
-
-
0036290620
-
ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors
-
M. Prvulovic, Z. Zhang, and J. Torrellas, "ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors," in Proc. ISCA, 2002, pp. 111-122. (Pubitemid 34691855)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 111-122
-
-
Prvulovic, M.1
Zhang, Z.2
Torrellas, J.3
-
22
-
-
78650744866
-
The reliable router: A reliable and high-performance communication substrate for parallel computers
-
W. J. Dally, L. R. Dennison, D. Harris, K. Kan, and T. Xanthopoulos, "The reliable router: A reliable and high-performance communication substrate for parallel computers," in Proc. PCRCW, 1994, pp. 241-255.
-
(1994)
Proc. PCRCW
, pp. 241-255
-
-
Dally, W.J.1
Dennison, L.R.2
Harris, D.3
Kan, K.4
Xanthopoulos, T.5
-
23
-
-
0031213195
-
A theory of fault-tolerant routing in wormhole networks
-
J. Duato, "A theory of fault-tolerant routing in wormhole networks," IEEE Trans. Parallel Distributed Syst., vol. 8, no. 8, pp. 790-802, Aug. 1997. (Pubitemid 127765107)
-
(1997)
IEEE Transactions on Parallel and Distributed Systems
, vol.8
, Issue.8
, pp. 790-802
-
-
Duato, J.1
-
24
-
-
85008024848
-
An efficient fault-tolerant routing methodology for meshes and tori
-
Jan
-
M. E. Gomez, J. Duato, J. Flich, P. Lopez, A. Robles, N. A. Nordbotten, O. Lysne, and T. Skeie, "An efficient fault-tolerant routing methodology for meshes and tori," IEEE Comput. Architecture Lett., vol. 3, no. 1, p. 3, Jan.-Dec. 2004.
-
(2004)
IEEE Comput. Architecture Lett.
, vol.3
, Issue.1
, pp. 3
-
-
Gomez, M.E.1
Duato, J.2
Flich, J.3
Lopez, P.4
Robles, A.5
Nordbotten, N.A.6
Lysne, O.7
Skeie, T.8
-
25
-
-
44149126468
-
A lightweight fault-tolerant mechanism for network-on-chip
-
DOI 10.1109/NOCS.2008.4492721, 4492721, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
-
M. Koibuchi, H. Matsutani, H. Amano, and T. M. Pinkston, "A lightweight fault-tolerant mechanism for network-on-chip," in Proc. NoCs, Apr. 2008, pp. 13-22. (Pubitemid 351715026)
-
(2008)
Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
, pp. 13-22
-
-
Koibuchi, M.1
Matsutani, H.2
Amano, H.3
Pinkston, T.M.4
-
27
-
-
51549089448
-
A reconfigurable routing algorithm for fault-tolerant 2-D-mesh network-on-chip
-
Jun
-
Z. Zhang, A. Greiner, and S. Taktak, "A reconfigurable routing algorithm for fault-tolerant 2-D-mesh network-on-chip," in Proc. DAC, Jun. 2008, pp. 441-446.
-
(2008)
Proc. DAC
, pp. 441-446
-
-
Zhang, Z.1
Greiner, A.2
Taktak, S.3
-
28
-
-
3543059647
-
Fault-tolerant routing in wormhole meshes
-
M.-J. Tsai, "Fault-tolerant routing in wormhole meshes," J. Interconnection Netw., vol. 4, no. 4, pp. 463-495, 2003.
-
(2003)
J. Interconnection Netw.
, vol.4
, Issue.4
, pp. 463-495
-
-
Tsai, M.-J.1
-
29
-
-
0033876475
-
Fault-tolerant wormhole routing algorithms for mesh networks
-
Jan
-
P.-H. Sui and S.-D. Wang, "Fault-tolerant wormhole routing algorithms for mesh networks," IEEE Comput. Digit. Tech., vol. 147, no. 1, p. 9, Jan. 2000.
-
(2000)
IEEE Comput. Digit. Tech.
, vol.147
, Issue.1
, pp. 9
-
-
Sui, P.-H.1
Wang, S.-D.2
-
30
-
-
0031336060
-
Fault-tolerant wormhole routing in mesh with overlapped solid fault regions
-
PII S0167819197000938
-
S.-P. Kim and T. Han, "Fault-tolerant wormhole routing in mesh with overlapped solid fault regions," Parallel Comput., vol. 23, no. 13, pp. 1937-1962, Dec. 1997. (Pubitemid 127401165)
-
(1997)
Parallel Computing
, vol.23
, Issue.13
, pp. 1937-1962
-
-
Kim, S.-P.1
Han, T.2
-
31
-
-
0031365719
-
Communication in multicomputers with nonconvex faults
-
S. Chalasani and R. Boppana, "Communication in multicomputers with nonconvex faults," IEEE Trans. Comput., vol. 46, no. 5, pp. 616-622, May 1997. (Pubitemid 127761924)
-
(1997)
IEEE Transactions on Computers
, vol.46
, Issue.5
, pp. 616-622
-
-
Chalasani, S.1
Boppana, R.V.2
-
32
-
-
66749138110
-
Efficient unicast and multicast support for CMPs
-
Nov
-
S. Rodrigo, J. Flich, J. Duato, and M. Hummel, "Efficient unicast and multicast support for CMPs," in Proc. MICRO, Nov. 2008, pp. 364-375.
-
(2008)
Proc. MICRO
, pp. 364-375
-
-
Rodrigo, S.1
Flich, J.2
Duato, J.3
Hummel, M.4
-
33
-
-
44849129231
-
Logic-based distributed routing for NOCs
-
Jan
-
J. Flich and J. Duato, "Logic-based distributed routing for NOCs," Comput. Architecture Lett., vol. 7, no. 1, pp. 13-16, Jan. 2008.
-
(2008)
Comput. Architecture Lett.
, vol.7
, Issue.1
, pp. 13-16
-
-
Flich, J.1
Duato, J.2
-
34
-
-
79952974640
-
A resilient on-chip router design through data path salvaging
-
Jan
-
C. Liu, L. Zhang, Y. Han, and X. Li, "A resilient on-chip router design through data path salvaging," in Proc. ASPDAC, Jan. 2011, pp. 437-442.
-
(2011)
Proc. ASPDAC
, pp. 437-442
-
-
Liu, C.1
Zhang, L.2
Han, Y.3
Li, X.4
-
35
-
-
70349789944
-
Fault-tolerant architecture and deflection routing for degradable NoC switches
-
May
-
A. Kohler and M. Radetzki, "Fault-tolerant architecture and deflection routing for degradable NoC switches," in Proc. NoCs, May 2009, pp. 22-31.
-
(2009)
Proc. NoCs
, pp. 22-31
-
-
Kohler, A.1
Radetzki, M.2
-
36
-
-
77955109421
-
Addressing manufacturing challenges with costefficient fault tolerant routing
-
May
-
S. Rodrigo, J. Flich, A. Roca, S. Medardoni, D. Bertozzi, J. Camacho, F. Silla, and J. Duato, "Addressing manufacturing challenges with costefficient fault tolerant routing," in Proc. NoCs, May 2010, pp. 25-32.
-
(2010)
Proc. NoCs
, pp. 25-32
-
-
Rodrigo, S.1
Flich, J.2
Roca, A.3
Medardoni, S.4
Bertozzi, D.5
Camacho, J.6
Silla, F.7
Duato, J.8
-
37
-
-
77949648043
-
Smart-flooding: A novel scheme for faulttolerant NoCs
-
Sep
-
A. Sanusi and M. Bayoumi, "Smart-flooding: A novel scheme for faulttolerant NoCs," in Proc. IEEE SOCC, Sep. 2009, pp. 259-262.
-
(2009)
Proc. IEEE SOCC
, pp. 259-262
-
-
Sanusi, A.1
Bayoumi, M.2
-
38
-
-
4544376708
-
Fault tolerant algorithms for network-on-chip interconnect
-
Feb
-
M. Pirretti, G. Link, R. Brooks, N. Vijaykrishnan, M. Kandemir, and M. Irwin, "Fault tolerant algorithms for network-on-chip interconnect," in Proc. IEEE Comput. Soc. Annu. Symp. VLSI, Feb. 2004, pp. 46-51.
-
(2004)
Proc. IEEE Comput. Soc. Annu. Symp. VLSI
, pp. 46-51
-
-
Pirretti, M.1
Link, G.2
Brooks, R.3
Vijaykrishnan, N.4
Kandemir, M.5
Irwin, M.6
-
39
-
-
34250882322
-
Stochastic communication: A new paradigm for fault-tolerant networks-on-chip
-
95348
-
P. Bogdan, T. Dumitras, and R. Marculescu, "Stochastic communication: A new paradigm for fault-tolerant networks-on-chip," VLSI Des., vol. 2007, no. 95348, p. 17, 2007.
-
(2007)
VLSI Des.
, vol.2007
, pp. 17
-
-
Bogdan, P.1
Dumitras, T.2
Marculescu, R.3
-
40
-
-
70349826940
-
Adaptive stochastic routing in fault-tolerant on-chip networks
-
May
-
W. Song, D. Edwards, J. Nunez-Yanez, and S. Dasgupta, "Adaptive stochastic routing in fault-tolerant on-chip networks," in Proc. NoCs, May 2009, pp. 32-37.
-
(2009)
Proc. NoCs
, pp. 32-37
-
-
Song, W.1
Edwards, D.2
Nunez-Yanez, J.3
Dasgupta, S.4
-
41
-
-
70350072759
-
Immunet: A cheap and robust fault-tolerant packet routing mechanism
-
Mar
-
V. Puente, J. A. Gregorio, F. Vallejo, and R. Beivide, "Immunet: A cheap and robust fault-tolerant packet routing mechanism," ACM SIGARCH Comput. Architecture News, vol. 32, no. 2, pp. 198-209, Mar. 2004.
-
(2004)
ACM SIGARCH Comput. Architecture News
, vol.32
, Issue.2
, pp. 198-209
-
-
Puente, V.1
Gregorio, J.A.2
Vallejo, F.3
Beivide, R.4
-
42
-
-
0026240467
-
Autonet: A high-speed, selfconfiguring local area network using point-to-point links
-
Oct
-
M. Schroeder, A. Birrell, M. Burrows, H. Murray, R. Needham, T. Rodeheffer, E. Satterthwaite, and C. Thacker, "Autonet: A high-speed, selfconfiguring local area network using point-to-point links," IEEE J. Sel. Areas Commun., vol. 9, no. 8, pp. 1318-1335, Oct. 1991.
-
(1991)
IEEE J. Sel. Areas Commun.
, vol.9
, Issue.8
, pp. 1318-1335
-
-
Schroeder, M.1
Birrell, A.2
Burrows, M.3
Murray, H.4
Needham, R.5
Rodeheffer, T.6
Satterthwaite, E.7
Thacker, C.8
-
43
-
-
33847091245
-
Segmentbased routing: An efficient fault-tolerant routing algorithm for meshes and tori
-
Apr
-
A. Mejia, J. Flich, J. Duato, S.-A. Reinemo, and T. Skeie, "Segmentbased routing: An efficient fault-tolerant routing algorithm for meshes and tori," in Proc. IPDPS, Apr. 2006, p. 10.
-
(2006)
Proc. IPDPS
, pp. 10
-
-
Mejia, A.1
Flich, J.2
Duato, J.3
Reinemo, S.-A.4
Skeie, T.5
-
44
-
-
84944062501
-
A flexible routing scheme for networks of workstations
-
J. C. Sancho, A. Robles, and J. Duato, "A flexible routing scheme for networks of workstations," in Proc. HPCS, 2000, pp. 260-267.
-
(2000)
Proc. HPCS
, pp. 260-267
-
-
Sancho, J.C.1
Robles, A.2
Duato, J.3
-
45
-
-
84951165359
-
L-turn routing: An adaptive routing in irregular networks
-
Sep
-
M. Koibuchi, A. Funahashi, A. Jouraku, and H. Amano, "L-turn routing: An adaptive routing in irregular networks," in Proc. Int. Conf. Parallel Processing, Sep. 2001, pp. 383-392.
-
(2001)
Proc. Int. Conf. Parallel Processing
, pp. 383-392
-
-
Koibuchi, M.1
Funahashi, A.2
Jouraku, A.3
Amano, H.4
-
46
-
-
84944385410
-
Fibre channel fabrics: Evaluation and design
-
Jan
-
L. Cherkasova, V. Kotov, and T. Rokicki, "Fibre channel fabrics: Evaluation and design," in Proc. Int. Conf. Syst. Sci., Jan. 1996, pp. 53-62.
-
(1996)
Proc. Int. Conf. Syst. Sci.
, pp. 53-62
-
-
Cherkasova, L.1
Kotov, V.2
Rokicki, T.3
-
47
-
-
33748849061
-
BulletProof: A defect-tolerant CMP switch architecture
-
Feb
-
K. Constantinides, S. Plaza, J. Blome, B. Zhang, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky, "BulletProof: A defect-tolerant CMP switch architecture," in Proc. HPCA, Feb. 2006, pp. 5-16.
-
(2006)
Proc. HPCA
, pp. 5-16
-
-
Constantinides, K.1
Plaza, S.2
Blome, J.3
Zhang, B.4
Bertacco, V.5
Mahlke, S.6
Austin, T.7
Orshansky, M.8
-
48
-
-
70350729669
-
A framework for system reliability analysis considering both system error tolerance and component test quality
-
Apr
-
S.-J. Pan and K.-T. Cheng, "A framework for system reliability analysis considering both system error tolerance and component test quality," in Proc. DATE, Apr. 2007, pp. 1-6.
-
(2007)
Proc. DATE
, pp. 1-6
-
-
Pan, S.-J.1
Cheng, K.-T.2
-
49
-
-
36348982717
-
Fault tolerant interleaved switching fabrics for scalable high-performance routers
-
DOI 10.1109/TPDS.2007.1109
-
R. He and J. Delgado-Frias, "Fault tolerant interleaved switching fabrics for scalable high-performance routers," IEEE Trans. Parallel Distributed Syst., vol. 18, no. 12, pp. 1727-1739, Dec. 2007. (Pubitemid 350142420)
-
(2007)
IEEE Transactions on Parallel and Distributed Systems
, vol.18
, Issue.12
, pp. 1727-1739
-
-
He, R.1
Delgado-Frias, J.G.2
-
50
-
-
63349096253
-
StageNet: A reconfigurable CMP fabric for resilient systems
-
S. Gupta, S. Feng, J. Blome, and S. Mahlke, "StageNet: A reconfigurable CMP fabric for resilient systems," in Proc. Reconfigurable Adaptive Architecture Workshop, 2007.
-
(2007)
Proc. Reconfigurable Adaptive Architecture Workshop
-
-
Gupta, S.1
Feng, S.2
Blome, J.3
Mahlke, S.4
-
51
-
-
77952918914
-
Fault tolerant network on chip switching with graceful performance degradation
-
Jun
-
A. Kohler, G. Schley, and M. Radetzki, "Fault tolerant network on chip switching with graceful performance degradation," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 29, no. 6, pp. 883-896, Jun. 2010.
-
(2010)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.29
, Issue.6
, pp. 883-896
-
-
Kohler, A.1
Schley, G.2
Radetzki, M.3
-
52
-
-
33845899086
-
A gracefully degrading and energy-efficient modular router architecture for on-chip networks
-
DOI 10.1109/ISCA.2006.6, 1635936, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
J. Kim, C. Nicopoulos, and D. Park, "A gracefully degrading and energy-efficient modular router architecture for on-chip networks," ACM SIGARCH Comput. Architecture News, vol. 34, no. 2, pp. 4-15, 2006. (Pubitemid 46016600)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 4-15
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Narayanan, V.4
Yousif, M.S.5
Das, C.R.6
-
53
-
-
33845589989
-
Exploring fault-tolerant network-on-chip architectures
-
DOI 10.1109/DSN.2006.35, 1633499, Proceedings - DSN 2006: 2006 International Conference on Dependable Systems and Networks
-
D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das, "Exploring fault-tolerant network-on-chip architectures," in Proc. DSN, Jun. 2006, pp. 93-104. (Pubitemid 44930411)
-
(2006)
Proceedings of the International Conference on Dependable Systems and Networks
, vol.2006
, pp. 93-104
-
-
Park, D.1
Nicopoulos, C.2
Kim, J.3
Vijaykrishnan, N.4
Das, C.R.5
-
54
-
-
33745715755
-
Power analysis of link level and end-to-end data protection in networks on chip
-
May
-
A. Jantsch, R. Lauter, and A. Vitkowski, "Power analysis of link level and end-to-end data protection in networks on chip," in Proc. ISCAS, May 2005, pp. 1770-1773.
-
(2005)
Proc. ISCAS
, pp. 1770-1773
-
-
Jantsch, A.1
Lauter, R.2
Vitkowski, A.3
-
55
-
-
33749589721
-
Self-healing asynchronous arrays
-
DOI 10.1109/ASYNC.2006.25, 1595686, Proceedings - 12th IEEE International Symposium on Asynchronous Circuits and Systems, ASYNC 2006
-
S. Peng and R. Manohar, "Self-healing asynchronous arrays," in Proc. ASYNC, Mar. 2006, pp. 34-45. (Pubitemid 44539626)
-
(2006)
Proceedings - International Symposium on Asynchronous Circuits and Systems
, vol.2006
, pp. 34-45
-
-
Peng, S.1
Manohar, R.2
-
57
-
-
27344448860
-
Analysis of error recovery schemes for networks on chips
-
DOI 10.1109/MDT.2005.104
-
S. Murali, T. Theocharides, N. Vijaykrishnan, M. J. Irwin, L. Benini, and G. D. Micheli, "Analysis of error recovery schemes for networks on chips," IEEE Des. Test, vol. 22, no. 5, pp. 434-442, Sep.-Oct. 2005. (Pubitemid 41522731)
-
(2005)
IEEE Design and Test of Computers
, vol.22
, Issue.5
, pp. 434-442
-
-
Murali, S.1
Theocharides, T.2
Vijaykrishnan, N.3
Irwin, M.J.4
Benini, L.5
De Micheli, G.6
-
58
-
-
80052651180
-
DRAIN: Distributed recovery architecture for inaccessible nodes in multi-core chips
-
A. DeOrio, K. Aisopos, V. Bertacco, and L.-S. Peh, "DRAIN: Distributed recovery architecture for inaccessible nodes in multi-core chips," in Proc. DAC, 2011, pp. 912-917.
-
(2011)
Proc. DAC
, pp. 912-917
-
-
DeOrio, A.1
Aisopos, K.2
Bertacco, V.3
Peh, L.-S.4
-
59
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: Characterization and architectural implications," in Proc. PACT, 2008, pp. 72-81.
-
(2008)
Proc. PACT
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
60
-
-
57849161523
-
An array-based test circuit for fully automated gate dielectric breakdown characterization
-
Sep
-
J. Keane, S. Venkatraman, P. Butzen, and C. H. Kim, "An array-based test circuit for fully automated gate dielectric breakdown characterization, " in Proc. CICC, Sep. 2008, pp. 121-124.
-
(2008)
Proc. CICC
, pp. 121-124
-
-
Keane, J.1
Venkatraman, S.2
Butzen, P.3
Kim, C.H.4
|