-
1
-
-
84907703268
-
Layout density analysis of FinFET
-
K. G. Anil, K. Henson, S. Biesemans, and N. Collaert, "Layout density analysis of FinFET," in Proc. ESSDERC, 2003, pp. 139-142.
-
(2003)
Proc. ESSDERC
, pp. 139-142
-
-
Anil, K.G.1
Henson, K.2
Biesemans, S.3
Collaert, N.4
-
2
-
-
75549083819
-
Leakage-delay tradeoff in FinFET logic circuits: A comparative analysis with bulk technology
-
Feb.
-
M. Agostinelli, M. Alioto, D. Esseni, and L. Selmi, "Leakage-delay tradeoff in FinFET logic circuits: A comparative analysis with bulk technology," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 2, pp. 232-245, Feb. 2010.
-
(2010)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.18
, Issue.2
, pp. 232-245
-
-
Agostinelli, M.1
Alioto, M.2
Esseni, D.3
Selmi, L.4
-
3
-
-
13644279136
-
The end of CMOS scaling
-
Jan.-Feb.
-
T. Skotnicki, J. A. Hutchby, T.-J. King, H.-S. P. Wong, and F. Boeuf, "The end of CMOS scaling," IEEE Circuits Devices Mag., vol. 21, no. 1, pp. 16-26, Jan.-Feb. 2005.
-
(2005)
IEEE Circuits Devices Mag.
, vol.21
, Issue.1
, pp. 16-26
-
-
Skotnicki, T.1
Hutchby, J.A.2
King, T.-J.3
Wong, H.-S.P.4
Boeuf, F.5
-
5
-
-
0033329310
-
-
Washington, DC
-
X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano, V. Subramanian, T.-J. King, J. Bokor, and C. Hu, "Sub 50-nm FinFET: PFET," in Tech. Dig. IEDM, Washington, DC, 1999, pp. 67-70.
-
(1999)
Sub 50-nm FinFET: PFET Tech. Dig. IEDM
, pp. 67-70
-
-
Huang, X.1
Lee, W.-C.2
Kuo, C.3
Hisamoto, D.4
Chang, L.5
J. Kedzierski, L.6
Anderson, E.7
Takeuchi, H.8
Choi, Y.-K.9
Asano, K.10
Subramanian, V.11
King, T.-J.12
Bokor, J.13
Hu, C.14
-
6
-
-
0036923636
-
-
San Francisco, CA
-
E. Nowak, B. Rainey, D. M. Fried, J. Kedzierski, M. Ieong, W. Leipold, J. Wright, and M. Breitwisch, "A functional FinFET-DGCMOSSRAM cell," in Tech. Dig. IEDM, San Francisco, CA, 2002, pp. 411-414.
-
(2002)
A functional FinFET-DGCMOSSRAM cell Tech. Dig. IEDM
, pp. 411-414
-
-
Nowak, E.1
Rainey, B.2
Fried, D.M.3
Kedzierski, J.4
Ieong, M.5
Leipold, W.6
Wright, J.7
Breitwisch, M.8
-
7
-
-
79955557992
-
Design and evaluation of mixed 3T-4T FinFET stacks for leakage reduction
-
Lisbon, Portugal
-
M. Agostinelli, M. Alioto, D. Esseni, and L. Selmi, "Design and evaluation of mixed 3T-4T FinFET stacks for leakage reduction," in Proc. PATMOS, Lisbon, Portugal, 2008, pp. 31-41.
-
(2008)
Proc. PATMOS
, pp. 31-41
-
-
Agostinelli, M.1
Alioto, M.2
Esseni, D.3
Selmi, L.4
-
8
-
-
39549083652
-
Trading off static power and dynamic performance in CMOS digital circuits: Bulk versus double gate SOI MOSFETs
-
DOI 10.1109/ESSDERC.2007.4430911, 4430911, ESSDERC07 - 2007 37th European Solid State Device Research Conference
-
M. Agostinelli, M. Alioto, D. Esseni, and L. Selmi, "Trading off static power and dynamic performance in CMOS digital circuits: Bulk versus double gate SOI MOSFETs," in Proc. ESSDERC, Munich, Germany, 2007, pp. 191-194. (Pubitemid 351278210)
-
(2008)
ESSDERC 2007 - Proceedings of the 37th European Solid-State Device Research Conference
, pp. 191-194
-
-
Agostinelli, M.1
Alioto, M.2
Esseni, D.3
Selmi, L.4
-
9
-
-
1842865629
-
Turning silicon on its edge
-
Jan.-Feb.
-
E. J. Nowak, I. Aller, T. Ludwig, K. Kim, R. V. Joshi, C.-T. Chuang, K. Bernstein, and R. Puri, "Turning silicon on its edge," IEEE Circuits Devices Mag., vol. 20, no. 1, pp. 20-31, Jan.-Feb. 2004.
-
(2004)
IEEE Circuits Devices Mag.
, vol.20
, Issue.1
, pp. 20-31
-
-
Nowak, E.J.1
Aller, I.2
Ludwig, T.3
Kim, K.4
Joshi, R.V.5
Chuang, C.-T.6
Bernstein, K.7
Puri, R.8
-
10
-
-
0041537580
-
Transistor elements for 30 nm physical gate lengths and below
-
May
-
B. Doyle, R. Arghavani, D. Barlage, S. Datta, M. Doczy, J. Kavalieros, A. Murthy, and R. Chau, "Transistor elements for 30 nm physical gate lengths and below," Intel Tech. J., pp. 42-54, May 2002.
-
(2002)
Intel Tech. J.
, pp. 42-54
-
-
Doyle, B.1
Arghavani, R.2
Barlage, D.3
Datta, S.4
Doczy, M.5
Kavalieros, J.6
Murthy, A.7
Chau, R.8
-
12
-
-
79955552760
-
-
[Online]. Available:
-
[Online]. Available: http://public.itrs.net
-
-
-
-
13
-
-
36849035755
-
Analysis of options in double-gate MOS technology: A circuit perspective
-
DOI 10.1109/TED.2007.909057
-
R. T. Cakici and K. Roy, "Analysis of options in double-gate MOS technology: A circuit perspective," IEEE Trans. Electron Devices, vol. 54, no. 12, pp. 3361-3368, Dec. 2007. (Pubitemid 350225943)
-
(2007)
IEEE Transactions on Electron Devices
, vol.54
, Issue.12
, pp. 3361-3368
-
-
Cakici, R.T.1
Roy, K.2
-
14
-
-
37749005263
-
Low-power and compact sequential circuits with independent-gate FinFETs
-
Jan.
-
S. A. Tawfik and V. Kursun, "Low-power and compact sequential circuits with independent-gate FinFETs," IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 60-70, Jan. 2008.
-
(2008)
IEEE Trans. Electron Devices
, vol.55
, Issue.1
, pp. 60-70
-
-
Tawfik, S.A.1
Kursun, V.2
-
15
-
-
34249803816
-
Cointegration of high-performance tied-gate three-terminal FinFETs and variable threshold-voltage independent-gate four-terminal FinFETs with asymmetric gate-oxide thicknesses
-
DOI 10.1109/LED.2007.896898
-
Y. X. Liu, T. Matsukawa, K. Endo, M. Masahara, S. Oaposuchi, K. Ishii, H. Yamauchi, J. Tsukada, Y. Ishikawa, and E. Suzuki, "Cointegration of high-performance tied-gate three-terminal FinFETs and variable threshold-voltage independent-gate four-terminal FinFETs with asymmetric gate-oxide thicknesses," IEEE Electron Device Lett., vol. 28, no. 6, pp. 517-519, Jun. 2007. (Pubitemid 46846010)
-
(2007)
IEEE Electron Device Letters
, vol.28
, Issue.6
, pp. 517-519
-
-
Liu, Y.1
Matsukawa, T.2
Endo, K.3
Masahara, M.4
O'uchi, S.-I.5
Ishii, K.6
Yamauchi, H.7
Tsukada, J.8
Ishikawa, Y.9
Suzuki, E.10
-
16
-
-
51949118050
-
Modeling and circuit synthesis for independently controlled double gate FinFET devices
-
Nov.
-
A. Datta, A. Goel, R. T. Cakici, H. Mahmoodi, D. Lekshmanan, and K. Roy, "Modeling and circuit synthesis for independently controlled double gate FinFET devices," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 26, no. 11, pp. 1957-1966, Nov. 2007.
-
(2007)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.26
, Issue.11
, pp. 1957-1966
-
-
Datta, A.1
Goel, A.2
Cakici, R.T.3
Mahmoodi, H.4
Lekshmanan, D.5
Roy, K.6
-
17
-
-
34249795033
-
Gate sizing: FinFETs vs 32nm bulk MOSFETs
-
DOI 10.1145/1146909.1147047, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
B. Swahn and S. Hassoun, "Gate sizing: FinFETs vs 32 nm bulk MOSFETs," in Proc. DAC, San Francisco, CA, Jul. 2006, pp. 528-531. (Pubitemid 47113954)
-
(2006)
Proceedings - Design Automation Conference
, pp. 528-531
-
-
Swahn, B.1
Hassoun, S.2
-
18
-
-
33947421763
-
Physical insights regarding design and performance of independent-gate FinFETs
-
Oct.
-
W. Zhang, J. Fossum, L. Mathew, and Y. Du, "Physical insights regarding design and performance of independent-gate FinFETs," IEEE Trans. Electron Devices, vol. 52, no. 10, pp. 2198-2206, Oct. 2005.
-
(2005)
IEEE Trans. Electron Devices
, vol.52
, Issue.10
, pp. 2198-2206
-
-
Zhang, W.1
Fossum, J.2
Mathew, L.3
Du, Y.4
-
19
-
-
51849160433
-
Independent-gate and tied-gate FinFET SRAM circuits: Design guidelines for reduced area and enhanced stability
-
presented at the IEEE Cairo, Egypt Dec.
-
S. A. Tawfik, Z. Liu, and V. Kursun, "Independent-gate and tied-gate FinFET SRAM circuits: Design guidelines for reduced area and enhanced stability," presented at the IEEE Int. Conf. Microelectron., Cairo, Egypt, Dec. 2007.
-
(2007)
Int. Conf. Microelectron.
-
-
Tawfik, S.A.1
Liu, Z.2
Kursun, V.3
-
20
-
-
0036494144
-
A spacer patterning technology for nanoscale CMOS
-
DOI 10.1109/16.987114, PII S0018938302015514
-
Y.-K. Choi, T.-J. King, and C. Hu, "A spacer patterning technology for nanoscale CMOS," IEEE Trans. Electron Devices, vol. 49, no. 3, pp. 436-441, Mar. 2002. (Pubitemid 34404841)
-
(2002)
IEEE Transactions on Electron Devices
, vol.49
, Issue.3
, pp. 436-441
-
-
Choi, Y.-K.1
King, T.-J.2
Hu, C.3
-
21
-
-
0036494144
-
A spacer patterning technology for nanoscale CMOS
-
DOI 10.1109/16.987114, PII S0018938302015514
-
Y.-K. Choi, T.-J. King, and C. Hu, "Nanoscale CMOS spacer FinFET for the terabit era," IEEE Electron Device Lett., vol. 23, no. 1, pp. 436-441, Jan. 2002. (Pubitemid 34404841)
-
(2002)
IEEE Transactions on Electron Devices
, vol.49
, Issue.3
, pp. 436-441
-
-
Choi, Y.-K.1
King, T.-J.2
Hu, C.3
-
22
-
-
0942267534
-
Sublithographic nanofabrication technology for nanocatalysts and DNA chips
-
Nov. -Dec.
-
Y. K. Choi, J. S. Lee, J. Zhu, G. A. Somorjai, L. P. Lee, and J. Bokor, "Sublithographic nanofabrication technology for nanocatalysts and DNA chips," J. Vacuum Sci. Technol., vol. 21, no. 6, pp. 2951-2955, Nov.-Dec. 2003.
-
(2003)
J. Vacuum Sci. Technol.
, vol.21
, Issue.6
, pp. 2951-2955
-
-
Choi, Y.K.1
Lee, J.S.2
Zhu, J.3
Somorjai, G.A.4
Lee, L.P.5
Bokor, J.6
-
23
-
-
0142217096
-
FinFET technology for future microprocessors
-
T. Ludwig, I. Aller, V. Gemhoefer, J. Keinelt, E. Nowak, R. V. Joshi, A. Mueller, and S. Tomaschko, "FinFET technology for future microprocessors," in Proc. SOI Conf., 2003, pp. 33-34.
-
(2003)
Proc. SOI Conf.
, pp. 33-34
-
-
Ludwig, T.1
Aller, I.2
Gemhoefer, V.3
Keinelt, J.4
Nowak, E.5
Joshi, R.V.6
Mueller, A.7
Tomaschko, S.8
-
24
-
-
33847679019
-
Spacer defined FinFET: Active area patterning of sub-20 nm fins with high density
-
DOI 10.1016/j.mee.2006.12.003, PII S0167931706006411
-
B. Degroote, R. Rooyackers, T. Vandeweyer, N. Collaert, W. Boullart, E. Kunnen, D. Shamiryan, J. Wouters, J. Van Puymbroeck, A. Dixit, and M. Jurczak, "Spacer defined FinFET: Active area patterning of sub-20 nm fins with high density," Microelectron. Eng., vol. 84, no. 4, pp. 609-618, Apr. 2007. (Pubitemid 46356623)
-
(2007)
Microelectronic Engineering
, vol.84
, Issue.4
, pp. 609-618
-
-
Degroote, B.1
Rooyackers, R.2
Vandeweyer, T.3
Collaert, N.4
Boullart, W.5
Kunnen, E.6
Shamiryan, D.7
Wouters, J.8
Van Puymbroeck, J.9
Dixit, A.10
Jurczak, M.11
-
25
-
-
26244446788
-
Demonstration, analysis, and device design considerations for independent DG MOSFETs
-
Sep.
-
M. Masahara, Y. Liu, K. Sakamoto, K. Endo, T. Matsukawa, K. Ishii, T. Sekigawa, H. Yamauchi, H. Tanoue, S. Kanemaru, H. Koike, and E. Suzuki, "Demonstration, analysis, and device design considerations for independent DG MOSFETs," IEEE Trans. Electron Devices, vol. 52, no. 9, pp. 2046-2052, Sep. 2005.
-
(2005)
IEEE Trans. Electron Devices
, vol.52
, Issue.9
, pp. 2046-2052
-
-
Masahara, M.1
Liu, Y.2
Sakamoto, K.3
Endo, K.4
Matsukawa, T.5
Ishii, K.6
Sekigawa, T.7
Yamauchi, H.8
Tanoue, H.9
Kanemaru, S.10
Koike, H.11
Suzuki, E.12
-
26
-
-
0035714368
-
Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits
-
K. W. Guarini, P. M. Solomon, Y. Zhang, K. K. Chan, E. C. Jones, G. M. Cohen, A. Krasnoperova, M. Ronay, O. Dokumaci, J. J. Bucchignano, C. Cabral, C. Lavoie, Jr., V. Ku, D. C. Boyd, K. S. Petrarca, I. V. Babich, J. Treichler, P.M. Kozlowski, J. S. Newbury, C. P. D'Emic, R. M. Sicina, and K. S. Wong, "Triple-self-aligned, planar double-gate MOSFETs: Devices and circuits," in IEDM Tech. Dig., 2001, pp. 425-428. (Pubitemid 34166761)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 425-428
-
-
Guarini, K.W.1
Solomon, P.M.2
Zhang, Y.3
Chan, K.K.4
Jones, E.C.5
Cohen, G.M.6
Krasnoperova, A.7
Ronay, M.8
Dokumaci, O.9
Bucchignano, J.J.10
Cabral Jr., C.11
Lavoie, C.12
Ku, V.13
Boyd, D.C.14
Petrarca, K.S.15
Babich, I.V.16
Treichler, J.17
Kozlowski, P.M.18
Newbury, J.S.19
D'Emic, C.P.20
Sicina, R.M.21
Wong, H.-S.22
more..
-
27
-
-
0035714565
-
Experimental evaluation of carrier transport and device design for planar symmetric/asymmetric double-gate/ground-plane CMOSFETs
-
M. Ieong, E. C. Jones, T. Kanarsky, Z. Ren, O. Dokumaci, R. A. Roy, L. Shi, T. Furukawa, Y. Taur, R. J. Miller, and H. S. Wong, "Experimental evaluation of carrier transport and device design for planar symmetric/ asymmetric double-gate/ground-plane CMOSFETs," in IEDM Tech. Dig., 2001, pp. 441-444. (Pubitemid 34166765)
-
(2001)
Technical Digest - International Electron Devices Meeting
, pp. 441-444
-
-
Ieong, M.1
Jones, E.C.2
Kanarsky, T.3
Ren, Z.4
Dokumaci, O.5
Roy, R.A.6
Shi, L.7
Furukawa, T.8
Taur, Y.9
Miller, R.J.10
Wong, H.-S.P.11
-
28
-
-
1942520273
-
High-performance p-type independent-gate FinFET
-
Mar.
-
D. M. Fried, J. S. Duster, and K. T. Kornegay, "High-performance p-type independent-gate FinFET," IEEE Electron Device Lett., vol. 25, no. 3, pp. 199-201, Mar. 2004.
-
(2004)
IEEE Electron Device Lett.
, vol.25
, Issue.3
, pp. 199-201
-
-
Fried, D.M.1
Duster, J.S.2
Kornegay, K.T.3
-
29
-
-
0842288130
-
Flexible threshold voltage FinFETs with independent double gates and an ideal rectangular cross-section Si-Fin channel
-
Y. X. Liu, M. Masahara, K. Ishii, T. Tsutsumi, T. Sekigawa, H. Takashima, H. Yamauchi, and E. Suzuki, "Flexible threshold voltage FinFETs with independent double gates and an ideal rectangular cross-section Si-Fin channel," in IEDM Tech. Dig., 2003, pp. 986-988.
-
(2003)
IEDM Tech. Dig.
, pp. 986-988
-
-
Liu, Y.X.1
Masahara, M.2
Ishii, K.3
Tsutsumi, T.4
Sekigawa, T.5
Takashima, H.6
Yamauchi, H.7
Suzuki, E.8
-
30
-
-
3342955721
-
A highly threshold voltage-controllable 4T FinFET with an 8.5-nm-thick Si-Fin channel
-
Jun.
-
Y. X. Liu, M. Masahara, K. Ishii, T. Sekigawa, H. Takashima, H. Yamauchi, and E. Suzuki, "A highly threshold voltage-controllable 4T FinFET with an 8.5-nm-thick Si-Fin channel," IEEE Electron Device Lett., vol. 25, no. 6, pp. 510-512, Jun. 2004.
-
(2004)
IEEE Electron Device Lett.
, vol.25
, Issue.6
, pp. 510-512
-
-
Liu, Y.X.1
Masahara, M.2
Ishii, K.3
Sekigawa, T.4
Takashima, H.5
Yamauchi, H.6
Suzuki, E.7
-
31
-
-
33751396182
-
FinFETs for nanoscale CMOS digital integrated circuits
-
DOI 10.1109/ICCAD.2005.1560065, 1560065, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design
-
T.-J. King, "FinFETs for nanoscale CMOS digital integrated circuits," in Proc. ICCAD, San Jose, CA, Nov. 2005, pp. 207-210. (Pubitemid 44815717)
-
(2005)
IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD
, vol.2005
, pp. 207-210
-
-
King, T.-J.1
-
32
-
-
41149171855
-
Tri-gate transistor architecture with high-k gate dielectrics, metal gates and strain engineering
-
1705211, 2006 Symposium on VLSI Technology, VLSIT - Digest of Technical Papers
-
J. Kavalieros, B. Doyle, S. Datta, G. Dewey, M. Doczy, B. Jin, D. Lionberger, M. Metz, W. Rachmady, M. Radosavljevic, U. Shah, N. Zelick, and R. Chau, "Tri-gate transistor architecture with high-k gate dielectrics, metal gates and strain engineering," in Proc. Symp. VLSI Technol., Honolulu, HI, 2006, pp. 50-51. (Pubitemid 351424120)
-
(2006)
Digest of Technical Papers - Symposium on VLSI Technology
, pp. 50-51
-
-
Kavalieros, J.1
Doyle, B.2
Datta, S.3
Dewey, G.4
Doczy, M.5
Jin, B.6
Lionberger, D.7
Metz, M.8
Rachmady, W.9
Radosavljevic, M.10
Shah, U.11
Zelick, N.12
Chau, R.13
-
33
-
-
74949130591
-
Advanced FinFET devices for sub-32 nm technology nodes: Characteristics and integration challenges
-
A. Veloso, N. Collaert, A. De Keersgieter, L. Witters, R. Rooyackers, T. Hoffmann, S. Biesemans, and M. Jurczak, "Advanced FinFET devices for sub-32 nm technology nodes: Characteristics and integration challenges," ECS Trans., vol. 19, no. 4, pp. 45-54, 2009.
-
(2009)
ECS Trans.
, vol.19
, Issue.4
, pp. 45-54
-
-
Veloso, A.1
Collaert, N.2
De Keersgieter, A.3
Witters, L.4
Rooyackers, R.5
Hoffmann, T.6
Biesemans, S.7
Jurczak, M.8
-
34
-
-
0036923594
-
Metal-gate FinFET and fully-depleted SOI devices using total gate silicidation
-
J. Kedzierski, E. Nowak, T. Kanarsky, Y. Zhang, D. Boyd, R. Carruthers, C. Cabral, R. Amos, C. Lavoie, R. Roy, J. Newbury, E. Sullivan, J. Benedict, P. Saunders, K. Wong, D. Canaperi, M. Krishnan, K. L. Lee, B. A. Rainey, D. Fried, P. Cottrell, H. S. P.Wong, M. Ieong, and W. Haensch, "Metal-gate FinFET and fully-depleted SOI devices using total gate silicidation," in Techn. Dig. IEDM, 2002, pp. 247-250.
-
(2002)
Techn. Dig. IEDM
, pp. 247-250
-
-
Kedzierski, J.1
Nowak, E.2
Kanarsky, T.3
Zhang, Y.4
Boyd, D.5
Carruthers, R.6
Cabral, C.7
Amos, R.8
Lavoie, C.9
Roy, R.10
Newbury, J.11
Sullivan, E.12
Benedict, J.13
Saunders, P.14
Wong, K.15
Canaperi, D.16
Krishnan, M.17
Lee, K.L.18
Rainey, B.A.19
Fried, D.20
Cottrell, P.21
Wong, H.S.P.22
Ieong, M.23
Haensch, W.24
more..
-
35
-
-
33745139143
-
2 gate stack
-
DOI 10.1109/.2005.1469231, 1469231, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
N. Collaert, M. Demand, I. Ferain, J. Lisoni, R. Singanamalla, P. Zimmerman, Y. Yim, T. Schram, G. Mannaert, M. Goodwin, J. Hooker, F. Neuilly, M. Kim, K. De Meyer, S. De Gendt, W. Boullart, M. Jurczak, and S. Biesemans, "Tall triple-gate device with TiN/HfO2 gate stack," in Proc. Symp. VLSI Technol., Leuven, Belgium, 2005, pp. 108-109. (Pubitemid 43897587)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 108-109
-
-
Collaert, N.1
Demand, M.2
Ferain, I.3
Lisoni, J.4
Singanamalla, R.5
Zimmerman, P.6
Yim, Y.S.7
Schram, T.8
Mannaert, G.9
Goodwin, M.10
Hooker, J.C.11
Neuilly, F.12
Kim, M.C.13
De Meyer, K.14
De Gendt, S.15
Boullart, W.16
Jurczak, M.17
Biesemans, S.18
-
36
-
-
84889967690
-
-
New York: Springer
-
M. Keating, D. Flynn, R. Aitken, A. Gibbons, and K. Shi, Low Power Methodology Manual for System-on-Chip Design. New York: Springer, 2007.
-
(2007)
Low Power Methodology Manual for System-on-Chip Design
-
-
Keating, M.1
Flynn, D.2
Aitken, R.3
Gibbons, A.4
Shi, K.5
-
38
-
-
4344561930
-
A gate-level strategy to design carry select adders
-
Vancouver, BC, Canada May
-
M. Alioto, G. Palumbo, and M. Poli, "A gate-level strategy to design carry select adders," in Proc. ISCAS, Vancouver, BC, Canada, May 2004, pp. II/465-II-468.
-
(2004)
Proc. ISCAS
-
-
Alioto, M.1
Palumbo, G.2
Poli, M.3
-
39
-
-
0036999969
-
Analysis and comparison on full adder block in sub-micron technology
-
Dec.
-
M. Alioto and G. Palumbo, "Analysis and comparison on full adder block in sub-micron technology," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 6, pp. 806-823, Dec. 2002.
-
(2002)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.10
, Issue.6
, pp. 806-823
-
-
Alioto, M.1
Palumbo, G.2
-
40
-
-
33846570414
-
Impact of supply voltage variations on full adder delay: Analysis and comparison
-
DOI 10.1109/TVLSI.2006.887809
-
M. Alioto and G. Palumbo, "Impact of supply voltage variations on full adder delay: Analysis and comparison," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 12, pp. 1322-1335, Dec. 2006. (Pubitemid 46181209)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.12
, pp. 1322-1335
-
-
Alioto, M.1
Palumbo, G.2
|