-
1
-
-
33745153424
-
Experimental and comparative investigation of low and high field transport in substrate- and process-induced strained nanoscaled MOSFETs
-
DOI 10.1109/.2005.1469257, 1469257, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
F. Andrieu, T. Ernst, F. Lime, F. Rochette, K. Romanjek, S. Barraud, C. Ravit, F. Boeuf, M. Jurczak, M. Casse, O. Weber, L. Brevard, G. Reimbold, G. Ghibaudo, and S. Deleonibus, "Experimental and comparative investigation of low and high field transport in substrate and process-induced strained nanoscale MOSFETs, " in Proc. Very Large Scale Integr. Technol. Symp. Tech. Dig., Jun. 2005, pp. 176-177. (Pubitemid 43897613)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 176-177
-
-
Andrieu, F.1
Ernst, T.2
Lime, F.3
Rochette, F.4
Romanjek, K.5
Barraud, S.6
Ravit, C.7
Boeuf, F.8
Jurczak, M.9
Casse, M.10
Weber, O.11
Brevard, L.12
Reimbold, G.13
Ghibaudo, G.14
Deleonibus, S.15
-
2
-
-
17044416932
-
Uniaxial strained silicon CMOS devices for high performance logic nanotechnology
-
10.1, SiGe: Materials, Processing, and Devices - Proceedings of the First Symposium
-
K. Mistry, M. Armstrong, C. Auth, S. Cea, T. Coan, T. Ghani, T. Hoffmann, A. Murthy, J. Sandford, R. Shaheed, K. Zawadzki, K. Zhang, S. Thompson, and M. Bohr, "Delaying forever: Uniaxial strained silicon transistors in a 90 nm CMOS technology, " in Proc. Very Large Scale Integr. Technol. Symp. Tech. Dig., Jun. 2004, pp. 50-51. (Pubitemid 40494758)
-
(2004)
Proceedings - Electrochemical Society
, vol.7
, pp. 681-692
-
-
Ghani, T.1
Armstrong, M.2
Auth, C.3
Giles, M.D.4
Mistry, K.5
Murthy, A.6
Shifren, L.7
Thompson, S.8
Bohr, M.9
-
3
-
-
33847094662
-
Strain for CMOS performance improvement
-
DOI 10.1109/CICC.2005.1568758, 1568758, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference
-
V. Chan, K. Rim, M. Ieong, S. Yang, R. Malik, Y. W. Teh, M. Yang, and Q. Ouyang, "Strain for CMOS performance improvement, " in Proc. Custom Integr. Circuits Conf., Sep. 2005, pp. 667-674. (Pubitemid 46288321)
-
(2005)
Proceedings of the Custom Integrated Circuits Conference
, vol.2005
, pp. 662-669
-
-
Chan, V.1
Rim, K.2
Ieong, M.3
Yang, S.4
Malik, R.5
Teh, Y.W.6
Yang, M.7
Ouyang, Q.8
-
4
-
-
0003212308
-
The submicron MOSFET
-
Sunset Beach CA: Lattice Press
-
S. Wolf, "The submicron MOSFET, " in Silicon Processing for the VLSI Era. Sunset Beach CA: Lattice Press, 1995, p. 273.
-
(1995)
Silicon Processing for the VLSI Era
, pp. 273
-
-
Wolf, S.1
-
5
-
-
77951689056
-
Techniques for leakage power reduction
-
New York: IEEE Press
-
A. Chandrakasan, W. J. Bowhill, and F. Fox, "Techniques for leakage power reduction, " in Design of High-Performance Microprocessor Circuits. New York: IEEE Press, 2001, p. 49.
-
(2001)
Design of High-Performance Microprocessor Circuits
, pp. 49
-
-
Chandrakasan, A.1
Bowhill, W.J.2
Fox, F.3
-
6
-
-
0036543067
-
t circuits
-
DOI 10.1109/92.994980, PII S1063821002106950
-
S. Sirichotiyakul, T. Edwards, C. Oh, R. Panda, and D. Blaauw, "Duet: An accurate leakage estimation and optimization tool for dual-Vt circuits, " IEEE Trans. Very Large Scale Integr. Syst., vol. 10, no. 2, pp. 79-90, Apr. 2002. (Pubitemid 34630824)
-
(2002)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.10
, Issue.2
, pp. 79-90
-
-
Sirichotiyakul, S.1
Edwards, T.2
Oh, C.3
Panda, R.4
Blaauw, D.5
-
7
-
-
0031635596
-
Design and optimization of low voltage high performance dual threshold CMOS circuits
-
Jun
-
L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, "Design and optimization of low voltage high performance dual threshold CMOS circuits, " in Proc. 35th Design Autom. Conf., Jun. 1998, pp. 489-494.
-
(1998)
Proc. 35th Design Autom. Conf.
, pp. 489-494
-
-
Wei, L.1
Chen, Z.2
Johnson, M.3
Roy, K.4
De, V.5
-
8
-
-
50249093216
-
Computer-aided design for low-power robust computing in nanoscale CMOS
-
Mar
-
D. Sylvester and A. Srivastava, "Computer-aided design for low-power robust computing in nanoscale CMOS, " Proc. IEEE, vol. 95, no. 3, pp. 507-529, Mar. 2007.
-
(2007)
Proc. IEEE
, vol.95
, Issue.3
, pp. 507-529
-
-
Sylvester, D.1
Srivastava, A.2
-
9
-
-
0036932273
-
Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance
-
Dec
-
R. A. Bianchi, G. Bouche, and O. Roux-dit-Buisson, "Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance, " in Proc. Int. Electron Devices Meeting, Dec. 2002, pp. 117-120.
-
(2002)
Proc. Int. Electron Devices Meeting
, pp. 117-120
-
-
Bianchi, R.A.1
Bouche, G.2
Roux-dit-Buisson, O.3
-
10
-
-
45849123251
-
Chip optimization through STI-stress-aware placement perturbations and fill insertion
-
Jul
-
A. Kahng, P. Sharma, and R. O. Topaloglu, "Chip optimization through STI-stress-aware placement perturbations and fill insertion, " IEEE Trans. Comput.-Aided Design, vol. 72, no. 7, pp. 1241-1252, Jul. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design
, vol.72
, Issue.7
, pp. 1241-1252
-
-
Kahng, A.1
Sharma, P.2
Topaloglu, R.O.3
-
11
-
-
0242696135
-
A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics
-
Sep
-
K.-W. Su, Y.-M. Sheu, C.-K. Lin, S.-J. Yang, W.-J. Liang, X. Xi, C.-S. Chiang, J.-K. Her, Y.-T. Chia, C. H. Diaz, and C. Hu, "A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics, " in Proc. Custom Integr. Circuits Conf., Sep. 2003, pp. 245-248.
-
(2003)
Proc. Custom Integr. Circuits Conf.
, pp. 245-248
-
-
Su, K.-W.1
Sheu, Y.-M.2
Lin, C.-K.3
Yang, S.-J.4
Liang, W.-J.5
Xi, X.6
Chiang, C.-S.7
Her, J.-K.8
Chia, Y.-T.9
Diaz, C.H.10
Hu, C.11
-
12
-
-
68349151645
-
Layout-aware compact model of MOSFET characteristics variations induced by STI stress
-
Jul
-
K. Yamada, T. Sato, S. Amakawa, N. Nakayama, K. Masu, and S. Kumashiro, "Layout-aware compact model of MOSFET characteristics variations induced by STI stress, " IEICE Trans. Elect, vol. E91-C, no. 7, pp. 1142-1150, Jul. 2008.
-
(2008)
IEICE Trans. Elect.
, vol.E91-C
, Issue.7
, pp. 1142-1150
-
-
Yamada, K.1
Sato, T.2
Amakawa, S.3
Nakayama, N.4
Masu, K.5
Kumashiro, S.6
-
13
-
-
33745709140
-
The impact of layout on stress-enhanced transistor performance
-
1562045, 2005 International Conference on Simulation of Semiconductor Processes and Devices, SISPAD 2005
-
V. Moroz, G. Eneman, P. Verheyen, F. Nouri, L. Washington, L. Smith, M. Jurczak, D. Pramanik, and X. Xu, "The impact of layout on stress-enhanced transistor performance, " in Proc. Int. Conf. Simulation Semiconductor Processes Devices, Sep. 2005, pp. 143-146. (Pubitemid 46016339)
-
(2005)
International Conference on Simulation of Semiconductor Processes and Devices, SISPAD
, vol.2005
, pp. 143-146
-
-
Moroz, V.1
Eneman, G.2
Verheyen, P.3
Nouri, F.4
Washington, L.5
Smith, L.6
Jurczak, M.7
Pramanik, D.8
Xu, X.9
-
14
-
-
12344268000
-
Modeling mechanical stress effect on dopant diffusion in scaled MOSFETs
-
Jan
-
Y.-M. Sheu, S.-J. Yang, C.-C. Wang, C.-S. Chang, L.-P. Huang, T.-Y. Huang, M.-J. Chen, and C. H. Diaz, "Modeling mechanical stress effect on dopant diffusion in scaled MOSFETs, " IEEE Trans. Electron Devices, vol. 52, no. 1, pp. 30-38, Jan. 2005.
-
(2005)
IEEE Trans. Electron Devices
, vol.52
, Issue.1
, pp. 30-38
-
-
Sheu, Y.-M.1
Yang, S.-J.2
Wang, C.-C.3
Chang, C.-S.4
Huang, L.-P.5
Huang, T.-Y.6
Chen, M.-J.7
Diaz, C.H.8
-
15
-
-
33947189556
-
Modeling advanced FET technology in a compact model
-
DOI 10.1109/TED.2005.881001
-
M. V. Dunga, C.-H. Lin, X. Xi, D. D. Lu, A. M. Niknejad, and C. Hu, "Modeling advanced FET technology in a compact model, " IEEE Trans. Electr. Devices, vol. 53, no. 9, pp. 1971-1978, Sep. 2006. (Pubitemid 46405122)
-
(2006)
IEEE Transactions on Electron Devices
, vol.53
, Issue.9
, pp. 1971-1977
-
-
Dunga, M.V.1
Lin, C.-H.2
Xi, X.3
Lu, D.D.4
Niknejad, A.M.5
Hu, C.6
-
16
-
-
33646079140
-
Layout impact on the performance of a locally strained PMOSFET
-
DOI 10.1109/.2005.1469196, 1469196, 2005 Symposium on VLSI Technology, Digest of Technical Papers
-
G. Eneman, P. Verheyen, R. Rooyackers, F. Nouri, L. Washington, R. Degraeve, B. Kaczer, V. Moroz, A. De Keersgieter, and R. Schreutelkamp, "Layout impact on the performance of a locally strained PMOSFET, " in Proc. Symp. Very Large Scale Integr. Technol., Jun. 2005, pp. 22-23. (Pubitemid 43897552)
-
(2005)
Digest of Technical Papers - Symposium on VLSI Technology
, vol.2005
, pp. 22-23
-
-
Eneman, G.1
Verheyen, P.2
Rooyackers, R.3
Nouri, F.4
Washington, L.5
Degraeve, R.6
Kaczer, B.7
Moroz, V.8
De Keersgieter, A.9
Schreutelkamp, R.10
Kawaguchi, M.11
Kim, Y.12
Samoilov, A.13
Smith, L.14
Absil, P.P.15
De Meyer, K.16
Jurczak, M.17
Biesemans, S.18
-
17
-
-
68549087134
-
Measurement and analysis of variability in 45 nm strained-SI CMOS technology
-
Aug
-
L.-T. Pang, K. Qian, C. Spanos, and B. Nikolic, "Measurement and analysis of variability in 45 nm strained-SI CMOS technology, " IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2233-2243, Aug. 2009.
-
(2009)
IEEE J. Solid-State Circuits
, vol.44
, Issue.8
, pp. 2233-2243
-
-
Pang, L.-T.1
Qian, K.2
Spanos, C.3
Nikolic, B.4
-
18
-
-
49749144088
-
Layout level timing optimization by leveraging active area dependent mobility of strained-silicon devices
-
Mar
-
A. Chakraborty, S. Shi, and D. Pan, "Layout level timing optimization by leveraging active area dependent mobility of strained-silicon devices, " in Proc. Design Autom. Test Eur., Mar. 2008, pp. 849-855.
-
(2008)
Proc. Design Autom. Test Eur.
, pp. 849-855
-
-
Chakraborty, A.1
Shi, S.2
Pan, D.3
-
19
-
-
33847739343
-
High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-K BEOL
-
1609265, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
W.-H. Lee, A. Waite, H. Nii, H. M. Nayfeh, V. McGahay, H. Nakayama, D. Fried, H. Chen, L. Black, R. Bolam, J. Cheng, D. Chidambarrao, C. Christiansen, M. Cullinan-Scholl, D. R. Davies, A. Domenicucci, P. Fisher, J. Fitzsimmons, J. Gill, M. Gribelyuk, D. Harmon, J. Holt, K. Ida, M. Kiene, J. Kluth, C. Labelle, A. Madan, K. Malone, P. V. McLaughlin, M. Minami, D. Mocuta, R. Murphy, C. Muzzy, M. Newport, S. Panda, I. Peidous, A. Sakamoto, T. Sato, G. Sudo, H. Van Meer, T. Yamashita, H. Zhu, P. Agnello, G. Bronner, G. Freeman, S.-F. Huang, T. Ivers, S. Luning, K. Miyamoto, H. Nye, J. Pellerin, K. Rim, D. Schepis, T. Spooner, X. Chen, M. Khare, M. Horstmann, A. Wei, T. Kammler, J. Hontschel, H. Bierstedt, H.-J. Engelmann, A. Hellmich, K. Hempel, G. Koerner, A. Neu, R. Otterbach, C. Reichel, M. Trentsch, P. Press, K. Frohberg, M. Schaller, H. Salz, J. Hohage, H. Ruelke, J. Klais, M. Raab, D. Greenlaw, and N. Kepler, "High performance 65 nm SOI technology with enhanced transistor strain and advanced-low-K BEOL, " in Proc. Int. Electron Devices Meeting, Dec. 2005, pp. 56-59. (Pubitemid 46370790)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 56-59
-
-
Lee, W.-H.1
Waite, A.2
Nii, H.3
Nayfeh, H.M.4
McGahay, V.5
Nakayama, H.6
Fried, D.7
Chen, H.8
Black, L.9
Bolam, R.10
Cheng, J.11
Chidambarrao, D.12
Christiansen, C.13
Cullinan-Scholl, M.14
Davies, D.R.15
Domenicucci, A.16
Fisher, P.17
Fitzsimmons, J.18
Gill, J.19
Gribelyuk, M.20
Harmon, D.21
Holt, J.22
Ida, K.23
Kiene, M.24
Kluth, J.25
Labelle, C.26
Madan, A.27
Malone, K.28
McLaughlin, P.V.29
Minami, M.30
Mocuta, D.31
Murphy, R.32
Muzzy, C.33
Newport, M.34
Panda, S.35
Peidous, I.36
Sakamoto, A.37
Sato, T.38
Sudo, G.39
VanMeer, H.40
Yamashita, T.41
Zhu, H.42
Agnello, P.43
Bronner, G.44
Freeman, G.45
Huang, S.-F.46
Ivers, T.47
Luning, S.48
Miyamoto, K.49
Nye, H.50
Pellerin, J.51
Rim, K.52
Schepis, D.53
Spooner, T.54
Chen, X.55
Khare, M.56
Horstmann, M.57
Wei, A.58
Kammler, T.59
Hontschel, J.60
Bierstedt, H.61
Engelmann, H.-J.62
Hellmich, A.63
Hempel, K.64
Koerner, G.65
Neu, A.66
Otterbach, R.67
Reichel, C.68
Trentsch, M.69
Press, P.70
Frohberg, K.71
Schaller, M.72
Salz, H.73
Hohage, J.74
Ruelke, H.75
Klais, J.76
Raab, M.77
Greenlaw, D.78
Kepler, N.79
more..
-
21
-
-
33847696543
-
Design of high performance PFETs with strained Si channel and laser anneal
-
1609388, IEEE International Electron Devices Meeting, 2005 IEDM - Technical Digest
-
Z. Luo, Y. F. Chong, J. Kim, N. Rovedo, B. Greene, S. Panda, T. Sato, J. Holt, D. Chidambarrao, J. Li, R. Davis, A. Madan, A. Turansky, O. Gluschenkov, R. Lindsay, A. Ajmera, J. Lee, S. Mishra, R. Amos, D. Schepis, H. Ng, and K. Rim, "Design of high performance PFETs with strained SI channel and laser anneal, " in Proc. Int. Electron Devices Meeting, Dec. 2005, pp. 489-492. (Pubitemid 46370896)
-
(2005)
Technical Digest - International Electron Devices Meeting, IEDM
, vol.2005
, pp. 489-492
-
-
Luo, Z.1
Chong, Y.F.2
Kim, J.3
Rovedo, N.4
Greene, B.5
Panda, S.6
Sato, T.7
Holt, J.8
Chidambarrao, D.9
Li, J.10
Davis, R.11
Madan, A.12
Turansky, A.13
Gluschenkov, O.14
Lindsay, R.15
Ajmera, A.16
Lee, J.17
Mishra, S.18
Amos, R.19
Schepis, D.20
Ng, H.21
Rim, K.22
more..
-
22
-
-
21644452652
-
Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing
-
Technical Digest - IEEE International Electron Devices Meeting, 2004 IEDM (50th Annual Meeting)
-
H. S. Yang, R. Malik, S. Narasimha, Y. Li, R. Divakaruni, P. Agnello, S. Allen, A. Antreasyan, J. C. Arnold, K. Bandy, M. Belyansky, A. Bonnoit, G. Bronner, V. Chan, X. Chen, Z. Chen, D. Chidambarrao, A. Chou, W. Clark, S. W. Crowder, B. Engel, H. Harifuchi, S. F. Huang, R. Jagannathan, F. F. Jamin, Y. Kohyama, H. Kuroda, C. W. Lai, H. K. Lee, W.-H. Lee, E. H. Lim, W. Lai, A. Mallikarjunan, K. Matsumoto, A. McKnight, J. Nayak, H. Y. Ng, S. Panda, R. Rengarajan, M. Steigerwalt, S. Subbanna, K. Subramanian, J. Sudijono, G. Sudo, S.-P. Sun, B. Tessier, Y. Toyoshima, P. Tran, R. Wise, R. Wong, I. Y. Yang, C. H. Wann, L. T. Su, M. Horstmann, Th. Feudel, A. Wei, K. Frohberg, G. Burbach, M. Gerhardt, M. Lenski, R. Stephan, K. Wieczorek, M. Schaller, H. Salz, J. Hohage, H. Ruelke, J. Klais, P. Huebler, S. Luning, R. van Bentum, G. Grasshoff, C. Schwan, E. Ehrichs, S. Goad, J. Buller, S. Krishnan, D. Greenlaw, M. Raab, and N. Kepler, "Dual stress liner for high performance sub-45 nm gate length SOI CMOS manufacturing, " in Proc. Int. Electron Devices Meeting, Dec. 2004, pp. 1075-1077. (Pubitemid 40928488)
-
(2004)
Technical Digest - International Electron Devices Meeting, IEDM
, pp. 1075-1077
-
-
Yang, H.S.1
Malik, R.2
Narasimha, S.3
Li, Y.4
Divakaruni, R.5
Agnello, P.6
Allen, S.7
Antreasyan, A.8
Arnold, J.C.9
Bandy, K.10
Belyansky, M.11
Bonnoit, A.12
Bronner, G.13
Chen, V.14
Chen, X.15
Chen, Z.16
Chidambarrao, D.17
Chou, A.18
Clark, W.19
Crowder, S.W.20
Engel, B.21
Harifuchi, H.22
Huang, S.F.23
Jagannathan, R.24
Jamin, F.F.25
Kohyama, Y.26
Kuroda, H.27
Lai, C.W.28
Lee, H.K.29
Lee, W.-H.30
Lim, E.H.31
Lai, W.32
Mallikarjunan, A.33
Matsumoto, K.34
McKnight, A.35
Nayak, J.36
Ng, H.Y.37
Panda, S.38
Rengarajan, R.39
Steigerwalt, M.40
Subbanna, S.41
Subramanian, K.42
Sudijono, J.43
Sudo, G.44
Sun, S.-P.45
Tessier, B.46
Toyoshima, Y.47
Tran, P.48
Wise, R.49
Wong, R.50
Yang, I.Y.51
Wann, C.H.52
Su, L.T.53
Horstmann, M.54
Feudel, Th.55
Wei, A.56
Frohberg, K.57
Burbach, G.58
Gerhardt, M.59
Lenski, M.60
Stephan, R.61
Wieczorek, K.62
Schaller, M.63
Salz, H.64
Hohage, J.65
Ruelke, H.66
Klais, J.67
Huebler, P.68
Luning, S.69
Van Bentum, R.70
Grasshoff, G.71
Schwan, C.72
Ehrichs, E.73
Goad, S.74
Buller, J.75
Krishnan, S.76
Greenlaw, D.77
Raab, M.78
Kepler, N.79
more..
-
23
-
-
0036923437
-
Novel locally strained channel technique for high performance 55 nm CMOS
-
K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto, and Y. Inoue, "Novel locally strained channel technique for high performance 55 nm CMOS, " in Proc. Int. Electron Devices Meeting, 2002, pp. 27-30.
-
(2002)
Proc. Int. Electron Devices Meeting
, pp. 27-30
-
-
Ota, K.1
Sugihara, K.2
Sayama, H.3
Uchida, T.4
Oda, H.5
Eimori, T.6
Morimoto, H.7
Inoue, Y.8
-
24
-
-
47249101504
-
Management of power and performance with stress memorization technique for 45 nm CMOS
-
A. Eiho, T. Sanuki, E. Morifuji, T. Iwamoto, G. Sudo, K. Fukasaku, K. Ota, T. Sawada, O. Fuji, H. Nii, M. Togo, K. Ohno, K. Yoshida, H. Tsuda, T. Ito, Y. Shiozaki, N. Fuji, H. Yamazaki, M. Nakazawa, S. Iwasa, S. Muramatsu, K. Nagaoka, M. Iwai, M. Ikeda, M. Saito, H. Naruse, Y. Enomoto, Kitano, S. Yamada, K. Imai, N. Nagashima, T. Kuwata, and F. Matsuoka, "Management of power and performance with stress memorization technique for 45 nm CMOS, " in Proc. IEEE Symp. Very Large Scale Integr. Technol., Jun. 2007, pp. 218-219.
-
Proc. IEEE Symp. Very Large Scale Integr. Technol.
, pp. 218-219
-
-
Eiho, A.1
Sanuki, T.2
Morifuji, E.3
Iwamoto, T.4
Sudo, G.5
Fukasaku, K.6
Ota, K.7
Sawada, T.8
Fuji, O.9
Nii, H.10
Togo, M.11
Ohno, K.12
Yoshida, K.13
Tsuda, H.14
Ito, T.15
Shiozaki, Y.16
Fuji, N.17
Yamazaki, H.18
Nakazawa, M.19
Iwasa, S.20
Muramatsu, S.21
Nagaoka, K.22
Iwai, M.23
Ikeda, M.24
Saito, M.25
Naruse, H.26
Enomoto, Y.27
Yamada, S.28
Imai, K.29
Nagashima, N.30
Kuwata, T.31
Matsuoka, F.32
more..
-
25
-
-
77951682247
-
-
Synopsys Technical Staff, DaVinci 3-D TCAD Manual, Version 2005.10, Synopsys, Inc.
-
Synopsys Technical Staff, DaVinci 3-D TCAD Manual, Version 2005.10, Synopsys, Inc., 2005.
-
(2005)
-
-
-
26
-
-
77951682576
-
-
Synopsys Technical Staff, Synopsys TSUPREM4 Manual, Version 2007.03, Synopsys, Inc.
-
Synopsys Technical Staff, Synopsys TSUPREM4 Manual, Version 2007.03, Synopsys, Inc., 2007.
-
(2007)
-
-
-
27
-
-
0042411906
-
on implant straggle and mask proximity effect
-
Sep
-
on implant straggle and mask proximity effect, " IEEE Trans. Electron Devices, vol. 50, no. 9, pp. 1946-1951, Sep. 2003.
-
(2003)
IEEE Trans. Electron Devices
, vol.50
, Issue.9
, pp. 1946-1951
-
-
Hook, T.B.1
Brown, J.2
Cottrell, P.3
Adler, E.4
Hoyniak, D.5
Johnson, J.6
Mann, R.7
-
28
-
-
33750585355
-
Modeling the well-edge proximity effect in highly scaled MOSFETs
-
DOI 10.1109/TED.2006.884070
-
Y.-M. Sheu, K.-W. Su, S. Tian, S.-J. Yang, C.-C. Wang, M.-J. Chen, and S. Liu, "Modeling the well-edge proximity effect in highly scaled MOSFETs, " IEEE Trans. Electron Devices, vol. 53, no. 11, pp. 2792-2798, Nov. 2006. (Pubitemid 44680676)
-
(2006)
IEEE Transactions on Electron Devices
, vol.53
, Issue.11
, pp. 2792-2798
-
-
Sheu, Y.-M.1
Su, K.-W.2
Tian, S.3
Yang, S.-J.4
Wang, C.-C.5
Chen, M.-J.6
Liu, S.7
-
29
-
-
77951684910
-
-
BSIM Research Group Version 4.6.1, BSIM Research Group
-
BSIM Research Group, BSIM4 SPICE Model Manual, Version 4.6.1, BSIM Research Group, 2007, pp. 115-116.
-
(2007)
BSIM4 SPICE Model Manual
, pp. 115-116
-
-
-
31
-
-
0036953966
-
Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels
-
Aug
-
V. Zyuban and P. Strenski, "Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels, " in Proc. Int. Symp. Low Power Electron. Design, Aug. 2002, pp. 166-171.
-
(2002)
Proc. Int. Symp. Low Power Electron. Design
, pp. 166-171
-
-
Zyuban, V.1
Strenski, P.2
-
32
-
-
34547322044
-
Comparative analysis of conventional and statistical design techniques
-
DOI 10.1109/DAC.2007.375160, 4261179, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
S. M. Burns, M. Ketkar, N. Menezes, K. A. Bowman, J. W. Tschanz, and V. De, "Comparative analysis of conventional and statistical design techniques, " in Proc. 44th Design Autom. Conf., Jun. 2007, pp. 238-243. (Pubitemid 47129961)
-
(2007)
Proceedings - Design Automation Conference
, pp. 238-243
-
-
Burns, S.M.1
Ketkar, M.2
Menezes, N.3
Bowman, K.A.4
Tschanz, J.W.5
De, V.6
-
33
-
-
77951697420
-
MOS field-effect transistor
-
New York: Springer-Verlag
-
T. Hori, "MOS field-effect transistor, " Gate Dielectrics and MOS ULSIs: Principles, Technologies, and Applications. New York: Springer-Verlag, 1997, p. 89.
-
(1997)
Gate Dielectrics and MOS ULSIs: Principles, Technologies, and Applications
, pp. 89
-
-
Hori, T.1
-
34
-
-
43349094030
-
Stress aware layout optimization
-
DOI 10.1145/1353629.1353666, ISPD'08 - Proceedings of the 2008 ACM International Symposium on Physical Design
-
V. Joshi, B. Cline, D. Sylvester, D. Blaauw, and K. Agarwal, "Stress aware layout optimization, " in Proc. Int. Symp. Phys. Design, Apr. 2008, pp. 168-174. (Pubitemid 351661815)
-
(2008)
Proceedings of the International Symposium on Physical Design
, pp. 168-174
-
-
Joshi, V.1
Cline, B.2
Sylvester, D.3
Blaauw, D.4
Agarwal, K.5
-
35
-
-
51549105139
-
Leakage power reduction using stress-enhanced layouts
-
Jun
-
V. Joshi, B. Cline, D. Sylvester, D. Blaauw, and K. Agarwal, "Leakage power reduction using stress-enhanced layouts, " in Proc. 45th Design Autom. Conf., Jun. 2008, pp. 912-917.
-
(2008)
Proc. 45th Design Autom. Conf.
, pp. 912-917
-
-
Joshi, V.1
Cline, B.2
Sylvester, D.3
Blaauw, D.4
Agarwal, K.5
|