-
1
-
-
35648995516
-
-
Tech. rep., University of California, Berkeley
-
ASANOVIC, K., BODIK, R., CATANZARO, B. C., GEBIS, J. J., HUSBANDS, P., KEUTZER, K., PATTERSON, D. A., PLISHKER, W. L., SHALF, J., WILLIAMS, S. W., AND YELICK, K. A. 2006. The landscape of parallel computing research: A view from Berkeley. Tech. rep., University of California, Berkeley.
-
(2006)
The Landscape of Parallel Computing Research: A View from Berkeley
-
-
Asanovic, K.1
Bodik, R.2
Catanzaro, B.C.3
Gebis, J.J.4
Husbands, P.5
Keutzer, K.6
Patterson, D.A.7
Plishker, W.L.8
Shalf, J.9
Williams, S.W.10
Yelick, K.A.11
-
2
-
-
49549108733
-
Tile64 - Processor: A 64-core soc with mesh interconnect
-
IEEE
-
BELL, S., EDWARDS, B., AMANN, J., CONLIN, R., JOYCE, K., LEUNG, V.,MACKAY, J., REIF, M., BAO, L., BROWN, J., MATTINA, M., MIAO, C.-C., RAMEY, C., WENTZLAFF, D., ANDERSON, W., BERGER, E., FAIRBANKS, N., KHAN, D.,MONTENEGRO, F., STICKNEY, J., AND ZOOK, J. 2008. Tile64 - processor: A 64-core soc with mesh interconnect. In Digest of Technical Papers of the IEEE International Solid-State Circuits Conference (ISSCC'08). IEEE, 88-598.
-
(2008)
Digest of Technical Papers of the IEEE International Solid-State Circuits Conference (ISSCC'08)
, pp. 88-598
-
-
Bell, S.1
Edwards, B.2
Amann, J.3
Conlin, R.4
Joyce, K.5
Leung, V.6
MacKay, J.7
Reif, M.8
Bao, L.9
Brown, J.10
Mattina, M.11
Miao, C.-C.12
Ramey, C.13
Wentzlaff, D.14
Anderson, W.15
Berger, E.16
Fairbanks, N.17
Khan, D.18
Montenegro, F.19
Stickney, J.20
Zook, J.21
more..
-
3
-
-
33748535403
-
High-performance cmos variability in the 65-nm regime and beyond
-
BERNSTEIN, K., FRANK,D. J.,GATTIKER, A. E.,HAENSCH,W., JI, B. L.,NASSIF, S. R.,NOWAK, E. J., PEARSON, D. J., AND ROHRER, N. J. 2006. High-performance cmos variability in the 65-nm regime and beyond. IBM J. Resear. Devel. 50, 4/5, 433-449.
-
(2006)
IBM J. Resear. Devel.
, vol.50
, Issue.4-5
, pp. 433-449
-
-
Bernstein, K.1
Frank, D.J.2
Gattiker, A.E.3
Haensch, W.4
Ji, B.L.5
Nassif, S.R.6
Nowak, E.J.7
Pearson, D.J.8
Rohrer, N.J.9
-
4
-
-
0038013642
-
Assessing integrated circuit manufacturing for environmental performance and sustainability: A full scale ic business application
-
IEEE Computer Society, Los Alamitos, CA
-
DICKINSON, D., MOSOVSKY, J., AND HOUTHUYSEN, S. 2003. Assessing integrated circuit manufacturing for environmental performance and sustainability: a full scale ic business application. In Proceedings of the IEEE International Symposium on Electronics and the Environment. IEEE Computer Society, Los Alamitos, CA, 214-219.
-
(2003)
Proceedings of the IEEE International Symposium on Electronics and the Environment
, pp. 214-219
-
-
Dickinson, D.1
Mosovsky, J.2
Houthuysen, S.3
-
5
-
-
34547977723
-
Adaptive power management for the on-chip communication network
-
IEEE Computer Society, Los Alamitos, CA
-
GUANG, L. AND JANTSCH, A. 2006. Adaptive power management for the on-chip communication network. In Proceedings of the 9th EUROMICRO DSD Conference. IEEE Computer Society, Los Alamitos, CA, 649-656.
-
(2006)
Proceedings of the 9th EUROMICRO DSD Conference
, pp. 649-656
-
-
Guang, L.1
Jantsch, A.2
-
6
-
-
63449085782
-
Autonomous dvfs on supply islands for energy-constrained noc communication
-
Springer-Verlag
-
GUANG, L., NIGUSSIE, E., KOSKINEN, L., AND TENHUNEN,H. 2009. Autonomous dvfs on supply islands for energy-constrained noc communication. In Proceedings of the 22nd International Conference on Architecture of Computing Systems (ARCS'09). Springer-Verlag, 183-194.
-
(2009)
Proceedings of the 22nd International Conference on Architecture of Computing Systems (ARCS'09)
, pp. 183-194
-
-
Guang, L.1
Nigussie, E.2
Koskinen, L.3
Tenhunen, H.4
-
7
-
-
62949176417
-
Low-latency and energyefficient monitoring interconnect for hierarchical-agent-monitored nocs
-
GUANG, L., RANTALA, P., NIGUSSIE, E., ISOAHO, J., AND TENHUNEN, H. 2008. Low-latency and energyefficient monitoring interconnect for hierarchical-agent- monitored nocs. In Proceedings of the NORCHIP. 227-232.
-
(2008)
Proceedings of the NORCHIP
, pp. 227-232
-
-
Guang, L.1
Rantala, P.2
Nigussie, E.3
Isoaho, J.4
Tenhunen, H.5
-
8
-
-
38949215000
-
Dynamic monitoring of highperformance distributed applications
-
IEEE Computer Society, Los Alamitos, CA
-
GUNTER, D., TIERNEY, B., JACKSON, K., LEE, J., AND STOUFER, M. 2002. Dynamic monitoring of highperformance distributed applications. In Proceedings of the 11th IEEE International Symposium on High Performance Distributed Computing HPDC-11. IEEE Computer Society, Los Alamitos, CA, 163-170.
-
(2002)
Proceedings of the 11th IEEE International Symposium on High Performance Distributed Computing HPDC-11
, pp. 163-170
-
-
Gunter, D.1
Tierney, B.2
Jackson, K.3
Lee, J.4
Stoufer, M.5
-
9
-
-
33748582367
-
Silicon cmos devices beyond scaling
-
HAENSCH, W., NOWAK, E. J., DENNARD, R. H., SOLOMON, P. M., BRYANT, A., DOKUMACI, O. H., KUMAR, A., WANG, X., JOHNSON, J. B., AND FISCHETTI, M. V. 2006. Silicon cmos devices beyond scaling. IBM J. Resear. Devel. 50, 4/5, 339-361.
-
(2006)
IBM J. Resear. Devel.
, vol.50
, Issue.4-5
, pp. 339-361
-
-
Haensch, W.1
Nowak, E.J.2
Dennard, R.H.3
Solomon, P.M.4
Bryant, A.5
Dokumaci, O.H.6
Kumar, A.7
Wang, X.8
Johnson, J.B.9
Fischetti, M.V.10
-
10
-
-
20844454351
-
A 233-mhz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package
-
HAZUCHA, P., SCHROM, G., HAHN, J., BLOECHEL, B., HACK, P., DERMER, G., NARENDRA, S., GARDNER, D., KARNIK, T., DE, V., AND BORKAR, S. 2005. A 233-mhz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package. IEEE J. Solid-State Circ. 40, 4, 838-845.
-
(2005)
IEEE J. Solid-State Circ.
, vol.40
, Issue.4
, pp. 838-845
-
-
Hazucha, P.1
Schrom, G.2
Hahn, J.3
Bloechel, B.4
Hack, P.5
Dermer, G.6
Narendra, S.7
Gardner, D.8
Karnik, T.9
De, V.10
Borkar, S.11
-
11
-
-
0029710702
-
Anew approach to pipeline fft processor
-
IEEE Computer Society, Los Alamitos, CA
-
HE, S. AND TORKELSON,M. 1996. Anew approach to pipeline fft processor. In Proceedings of the 10th International Parallel Processing Symposium (IPPS'96). IEEE Computer Society, Los Alamitos, CA, 766-770.
-
(1996)
Proceedings of the 10th International Parallel Processing Symposium (IPPS'96)
, pp. 766-770
-
-
He, S.1
Torkelson, M.2
-
12
-
-
16444383201
-
Energy and performance-aware mapping for regular noc architectures
-
HU, J. AND MARCULESCU, R. 2005. Energy and performance-aware mapping for regular noc architectures. IEEE Trans. CAD 24, 4, 551-562.
-
(2005)
IEEE Trans. CAD
, vol.24
, Issue.4
, pp. 551-562
-
-
Hu, J.1
Marculescu, R.2
-
15
-
-
0024666938
-
High performance communications in processor networks
-
ACM, New York, NY
-
JESSHOPE, C., MILLER, P., AND YANTCHEV, J. 1989. High performance communications in processor networks. In Proceedings of the 16th Annual International Symposium on Computer Architecture. ACM, New York, NY, 150-157.
-
(1989)
Proceedings of the 16th Annual International Symposium on Computer Architecture
, pp. 150-157
-
-
Jesshope, C.1
Miller, P.2
Yantchev, J.3
-
16
-
-
0034428118
-
System-level design: Orthogonalization of concerns and platform-based design
-
KEUTZER, K., NEWTON, A., RABAEY, J., AND SANGIOVANNI-VINCENTELLI, A. 2000. System-level design: orthogonalization of concerns and platform-based design. IEEE Trans. CAD 19, 12, 1523-1543.
-
(2000)
IEEE Trans. CAD
, vol.19
, Issue.12
, pp. 1523-1543
-
-
Keutzer, K.1
Newton, A.2
Rabaey, J.3
Sangiovanni-Vincentelli, A.4
-
17
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
KIM,W., GUPTA, M.,WEI, G.-Y., AND BROOKS, D. 2008. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedingss of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA'08). 123-134.
-
(2008)
Proceedingss of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA'08)
, pp. 123-134
-
-
Kim, W.1
Gupta, M.2
Wei, G.-Y.3
Brooks, D.4
-
18
-
-
61649110992
-
Power-efficient reconfiguration control in coarse-grained dynamically reconfigurable architectures
-
Springer-Verlag
-
KISSLER, D., STRAWETZ, A., HANNIG, F., AND TEICH, J. 2009. Power-efficient reconfiguration control in coarse-grained dynamically reconfigurable architectures. In Revised Selected Papers from PATMOS 2008. Springer-Verlag, 307-317.
-
(2009)
In Revised Selected Papers from PATMOS 2008
, pp. 307-317
-
-
Kissler, D.1
Strawetz, A.2
Hannig, F.3
Teich, J.4
-
19
-
-
84948696213
-
A network on chip architecture and design methodology
-
IEEE Computer Society, Los Alamitos, CA
-
KUMAR, S., JANTSCH, A., SOININEN, J., FORSELL, M.,MILLBERG, M., BERG, J., TIENSYRJ, K., AND HEMANI, A. 2002. A network on chip architecture and design methodology. In Proceedingss of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'02). IEEE Computer Society, Los Alamitos, CA, 117.
-
(2002)
Proceedingss of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'02)
, pp. 117
-
-
Kumar, S.1
Jantsch, A.2
Soininen, J.3
Forsell, M.4
Millberg, M.5
Berg, J.6
Tiensyrj, K.7
Hemani, A.8
-
20
-
-
0036911921
-
Managing power and performance for system-on-chip designs using voltage islands
-
ACM, New York, NY
-
LACKEY,D., ZUCHOWSKI, P.,BEDNAR, T., STOUT,D.,GOULD, S., ANDCOHN, J. 2002. Managing power and performance for system-on-chip designs using voltage islands. In Proceedingss of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'02). ACM, New York, NY, 195-202.
-
(2002)
Proceedingss of the IEEE/ACM International Conference on Computer Aided Design (ICCAD'02)
, pp. 195-202
-
-
Lackey, D.1
Zuchowski, P.2
Bednar, T.3
Stout, D.4
Gould, S.5
Cohn, J.6
-
21
-
-
34250849255
-
Online reconfigurable self-timed links for fault tolerant noc
-
LEHTONEN, T., LILJEBERG, P., AND PLOSILA, J. 2007. Online reconfigurable self-timed links for fault tolerant noc. VLSI Des. 13.
-
(2007)
VLSI des
, pp. 13
-
-
Lehtonen, T.1
Liljeberg, P.2
Plosila, J.3
-
22
-
-
17044424210
-
A novel pipelined fast fourier transform architecture for double rate ofdm systems
-
IEEE
-
LIN, H.-L., LIN, H., CHEN, Y.-C., AND CHANG, R. 2004. A novel pipelined fast fourier transform architecture for double rate ofdm systems. In Proceedings of the IEEE Workshop on Signal Processing Systems (SIPS'04). IEEE, 7-11.
-
(2004)
Proceedings of the IEEE Workshop on Signal Processing Systems (SIPS'04)
, pp. 7-11
-
-
Lin, H.-L.1
Lin, H.2
Chen, Y.-C.3
Chang, R.4
-
24
-
-
34547254666
-
Voltage-frequency island partitioning for gals-based networks-on-chip
-
ACM, New York, NY
-
OGRAS, U., MARCULESCU, R., CHOUDHARY, P., AND MARCULESCU, D. 2007. Voltage-frequency island partitioning for gals-based networks-on-chip. In Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC'07). ACM, New York, NY, 110-115.
-
(2007)
Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC'07)
, pp. 110-115
-
-
Ogras, U.1
Marculescu, R.2
Choudhary, P.3
Marculescu, D.4
-
26
-
-
47749098797
-
Agent-based reconfigurability for fault-tolerance in network-on-chip
-
CSREA Press
-
RANTALA, P., ISOAHO, J., AND TENHUNEN, H. 2007. Agent-based reconfigurability for fault-tolerance in network-on-chip. In Proceedings of the Engineering of Reconfigurable Systems and Algorithms Conference (ERSA). CSREA Press, 207-210.
-
(2007)
Proceedings of the Engineering of Reconfigurable Systems and Algorithms Conference (ERSA)
, pp. 207-210
-
-
Rantala, P.1
Isoaho, J.2
Tenhunen, H.3
-
27
-
-
16244372057
-
Run-time monitoring for dependable systems: An approach and a case study
-
IEEE Computer Society, Los Alamitos, CA
-
ROTA, S. R. AND ALMEIDA JR., J. R. D. 2004. Run-time monitoring for dependable systems: An approach and a case study. In Proceedings of the 23rd IEEE International Symposium on Reliable Distributed Systems (SRDS'04). IEEE Computer Society, Los Alamitos, CA, 41-49.
-
(2004)
Proceedings of the 23rd IEEE International Symposium on Reliable Distributed Systems (SRDS'04)
, pp. 41-49
-
-
Rota, S.R.1
Almeida Jr., J.R.D.2
-
29
-
-
0035509391
-
Platform-based design and software design methodology for embedded systems
-
SANGIOVANNI-VINCENTELLI, A. AND MARTIN, G. 2001. Platform-based design and software design methodology for embedded systems. IEEE Des. Test of Comput. 18, 6, 23-33.
-
(2001)
IEEE Des. Test of Comput.
, vol.18
, Issue.6
, pp. 23-33
-
-
Sangiovanni-Vincentelli, A.1
Martin, G.2
-
31
-
-
67249139250
-
A cost analysis framework for multi-core systems with spares
-
SHAMSHIRI, S., LISHERNESS, P., PAN, S.-J., AND CHENG, K.-T. 2008. A cost analysis framework for multi-core systems with spares. In Proceedings of the IEEE International Test Conference (ITC'08). 1-8.
-
(2008)
Proceedings of the IEEE International Test Conference (ITC'08)
, pp. 1-8
-
-
Shamshiri, S.1
Lisherness, P.2
Pan, S.-J.3
Cheng, K.-T.4
-
32
-
-
84955452760
-
Dynamic voltage scaling with links for power optimization of interconnection networks
-
IEEE Computer Society, Los Alamitos, CA
-
SHANG, L., PEH, L.-S., AND JHA,N. 2003. Dynamic voltage scaling with links for power optimization of interconnection networks. In Proceedings of the International Symposium of High Performance Computer Architecture (HPCA'03). IEEE Computer Society, Los Alamitos, CA, 91-102.
-
(2003)
Proceedings of the International Symposium of High Performance Computer Architecture (HPCA'03)
, pp. 91-102
-
-
Shang, L.1
Peh, L.-S.2
Jha, N.3
-
33
-
-
33947389289
-
Exploring the design space of self-regulating power-aware on/off interconnection networks
-
SOTERIOU, V. AND PEH, L.-S. 2007. Exploring the design space of self-regulating power-aware on/off interconnection networks. IEEE Trans. Parall. Distrib. Syst. 18, 3, 393-408.
-
(2007)
IEEE Trans. Parall. Distrib. Syst.
, vol.18
, Issue.3
, pp. 393-408
-
-
Soteriou, V.1
Peh, L.-S.2
-
35
-
-
33846044638
-
Elastic: An adaptive self-healing architecture for unpredictable silicon
-
SYLVESTER, D., BLAAUW, D., AND KARL, E. 2006. Elastic: An adaptive self-healing architecture for unpredictable silicon. IEEE Des. Test Comput. 23, 6, 484-490.
-
(2006)
IEEE Des. Test Comput.
, vol.23
, Issue.6
, pp. 484-490
-
-
Sylvester, D.1
Blaauw, D.2
Karl, E.3
-
36
-
-
0036505033
-
The raw microprocessor: A computational fabric for software circuits and general-purpose programs
-
TAYLOR, M., KIM, J., MILLER, J., WENTZLAFF, D., GHODRAT, F., GREENWALD, B., HOFFMAN, H., JOHNSON, P., LEE, J.-W., LEE, W., MA, A., SARAF, A., SENESKI, M., SHNIDMAN, N., STRUMPEN, V., FRANK, M., AMARASINGHE, S., AND AGARWAL, A. 2002. The raw microprocessor: a computational fabric for software circuits and general-purpose programs. IEEE Micro 22, 2, 25-35.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 25-35
-
-
Taylor, M.1
Kim, J.2
Miller, J.3
Wentzlaff, D.4
Ghodrat, F.5
Greenwald, B.6
Hoffman, H.7
Johnson, P.8
Lee, J.-W.9
Lee, W.10
Ma, A.11
Saraf, A.12
Seneski, M.13
Shnidman, N.14
Strumpen, V.15
Frank, M.16
Amarasinghe, S.17
Agarwal, A.18
-
37
-
-
85008054348
-
A wide power supply range, wide tuning range, all static CMOS all digital PLL in 65 NM SOI
-
TIERNO, J., RYLYAKOV, A., AND FRIEDMAN, D. 2008. A wide power supply range, wide tuning range, all static CMOS all digital PLL in 65 NM SOI. IEEE J. Solid-State Circ. 43, 1, 42-51.
-
(2008)
IEEE J. Solid-State Circ.
, vol.43
, Issue.1
, pp. 42-51
-
-
Tierno, J.1
Rylyakov, A.2
Friedman, D.3
-
38
-
-
63449130720
-
A 167-processor computational platform in 65 nm CMOS
-
TRUONG,D.,CHENG,W.,MOHSENIN, T.,YU, Z., JACOBSON, A., LANDGE,G.,MEEUWSEN, M.,WATNIK,C., TRAN, A., XIAO, Z., WORK, E., WEBB, J., MEJIA, P., AND BAAS, B. 2009. A 167-processor computational platform in 65 nm CMOS. IEEE J. Solid-State Circ. 44, 4, 1130-1144.
-
(2009)
IEEE J. Solid-State Circ.
, vol.44
, Issue.4
, pp. 1130-1144
-
-
Truong, D.1
Cheng, W.2
Mohsenin, T.3
Yu, Z.4
Jacobson, A.5
Landge, G.6
Meeuwsen, M.7
Watnik, C.8
Tran, A.9
Xiao, Z.10
Work, E.11
Webb, J.12
Mejia, P.13
Baas, B.14
-
39
-
-
34548858682
-
An 80-tile 1.28tflops network-on-chip in 65nm CMOS
-
IEEE, International
-
VANGAL, S., HOWARD, J., RUHL, G., DIGHE, S., WILSON, H., TSCHANZ, J., FINAN, D., IYER, P., SINGH, A., JACOB, T., JAIN, S., VENKATARAMAN, S., HOSKOTE, Y., AND BORKAR, N. 2007. An 80-tile 1.28tflops network-on-chip in 65nm CMOS. In Digest of Technical Papers. IEEE International Solid-State Circuits Conference (ISSCC'07). IEEE, International, 98-589.
-
(2007)
Digest of Technical Papers. IEEE International Solid-State Circuits Conference (ISSCC'07)
, pp. 98-589
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
40
-
-
85008053864
-
An 80-tile sub-100-w teraflops processor in 65-nm CMOS
-
VANGAL, S., HOWARD, J., RUHL, G., DIGHE, S., WILSON, H., TSCHANZ, J., FINAN, D., SINGH, A., JACOB, T., JAIN, S., ERRAGUNTLA, V., ROBERTS, C., HOSKOTE, Y., BORKAR, N., AND BORKAR, S. 2008. An 80-tile sub-100-w teraflops processor in 65-nm CMOS. IEEE J. Solid-State-Circ. 43, 1, 29-41.
-
(2008)
IEEE J. Solid-State-Circ.
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Singh, A.8
Jacob, T.9
Jain, S.10
Erraguntla, V.11
Roberts, C.12
Hoskote, Y.13
Borkar, N.14
Borkar, S.15
-
41
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
IEEE Computer Society Press, Los Alamitos, CA
-
WANG, H.-S., ZHU, X., PEH, L.-S., AND MALIK, S. 2002a. Orion: a power-performance simulator for interconnection networks. In Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society Press, Los Alamitos, CA, 294-305.
-
(2002)
Proceedings of the 35th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 294-305
-
-
Wang, H.-S.1
Zhu, X.2
Peh, L.-S.3
Malik, S.4
-
42
-
-
0036206378
-
Data mining meets performance evaluation: Fast algorithms for modeling bursty traffic
-
IEEE Computer Society, Los Alamitos, CA
-
WANG, M., MADHYASTHA, T., CHAN, N. H., PAPADIMITRIOU, S., AND FALOUTSOS, C. 2002b. Data mining meets performance evaluation: fast algorithms for modeling bursty traffic. In Proceedings of the 18th International Conference on Data Engineering. IEEE Computer Society, Los Alamitos, CA, 507-516.
-
(2002)
Proceedings of the 18th International Conference on Data Engineering
, pp. 507-516
-
-
Wang, M.1
Madhyastha, T.2
Chan, N.H.3
Papadimitriou, S.4
Faloutsos, C.5
-
43
-
-
0037234868
-
A system model for dynamically reconfigurable software
-
WHISNANT, K., KALBARCZYK, Z. T., AND IYER, R. K. 2003. A system model for dynamically reconfigurable software. IBM Syst. J. 42, 1, 45-59.
-
(2003)
IBM Syst. J.
, vol.42
, Issue.1
, pp. 45-59
-
-
Whisnant, K.1
Kalbarczyk, Z.T.2
Iyer, R.K.3
-
45
-
-
77949437428
-
Hardware/software codesign of on-chip communication architecture for application-specific multiprocessor system-on-chip
-
ZERGAINOH, N.-E., BAGHDADI, A., AND JERRAYA, A. A. 2005. Hardware/software codesign of on-chip communication architecture for application-specific multiprocessor system-on-chip. J. Embedd. Syst. 1, 1/2, 112-124.
-
(2005)
J. Embedd. Syst.
, vol.1
, Issue.1-2
, pp. 112-124
-
-
Zergainoh, N.-E.1
Baghdadi, A.2
Jerraya, A.A.3
-
46
-
-
38349122464
-
Applying dynamic reconfiguration for fault tolerance in fine-grained logic arrays
-
ZIPF, P. 2008. Applying dynamic reconfiguration for fault tolerance in fine-grained logic arrays. IEEE Trans. VLSI Syst. 16, 2, 134-143.
-
(2008)
IEEE Trans. VLSI Syst.
, vol.16
, Issue.2
, pp. 134-143
-
-
Zipf, P.1
|