-
1
-
-
79957525949
-
-
Intel Products [Online]. Available:
-
Intel Products [Online]. Available: http://www.intel.com/products/ processor/index.htm
-
-
-
-
2
-
-
79957523138
-
-
AMD Product [Online]. Available:
-
AMD Product [Online]. Available: http://www.amd.com/US/PRODUCTS/Pages/ products.aspx
-
-
-
-
3
-
-
51349168284
-
UltraSPARC T2: A highly-threaded, power-efficient, SPARC SoC
-
Nov.
-
M. Shah, J. Barren, J. Brooks, R. Golla, G. Grohoski, N. Gura, R. Hetherington, P. Jordan, M. Luttrell, C. Olson, B. Sana, D. Sheahan, L. Spracklen, and A. Wynn, "UltraSPARC T2: A highly-threaded, power-efficient, SPARC SoC," in Proc. ASSCC, Nov. 2007, pp. 22-25.
-
(2007)
Proc. ASSCC
, pp. 22-25
-
-
Shah, M.1
Barren, J.2
Brooks, J.3
Golla, R.4
Grohoski, G.5
Gura, N.6
Hetherington, R.7
Jordan, P.8
Luttrell, M.9
Olson, C.10
Sana, B.11
Sheahan, B.12
Spracklen, L.13
Wynn, A.14
-
4
-
-
37549032725
-
IBM POWER6 microarchitecture
-
Nov.
-
H. Q. Le, W. J. Starke, J. S. Fields, F. P. O'Connell, D. Q. Nguyen, B. J. Ronchetti, W. M. Sauer, E. M. Schwarz, and M. T. Vaden, "IBM POWER6 microarchitecture," IBM J. Res. Develop., vol. 51, no. 6, pp. 639-662, Nov. 2007.
-
(2007)
IBM J. Res. Develop.
, vol.51
, Issue.6
, pp. 639-662
-
-
Le, H.Q.1
Starke, W.J.2
Fields, J.S.3
O'Connell, F.P.4
Nguyen, D.Q.5
Ronchetti, B.J.6
Sauer, W.M.7
Schwarz, E.M.8
Vaden, M.T.9
-
5
-
-
79957470481
-
-
ARM Products [Online]. Available:
-
ARM Products [Online]. Available: http://www.arm.com/products/CPUs/index. html
-
-
-
-
6
-
-
44849137198
-
NVIDIA Tesla: A unified graphics and computing architecture
-
DOI 10.1109/MM.2008.31
-
E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, "NVIDIA Tesla: A unified graphics and computing architecture," IEEE Micro, vol. 28, no. 2, pp. 39-55, Mar. 2008. (Pubitemid 351796170)
-
(2008)
IEEE Micro
, vol.28
, Issue.2
, pp. 39-55
-
-
Lindholm, E.1
Nickolls, J.2
Oberman, S.3
Montrym, J.4
-
7
-
-
34548858682
-
An 80-tile 1.28 TFLOPS networks-on-chip in 65 nm CMOS
-
Feb.
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote, and N. Borkar, "An 80-tile 1.28 TFLOPS networks-on-chip in 65 nm CMOS," in Proc. ISSCC, Feb. 2007, pp. 98-100.
-
(2007)
Proc. ISSCC
, pp. 98-100
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
8
-
-
34547143358
-
HybDTM: A coordinated hardware-software approach for dynamic thermal management
-
DOI 10.1145/1146909.1147052, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
A. Kumar, S. Li, P. Li-Shiuan, and N. K. Jha, "HybDTM: A coordinated hardware-software approach for dynamic thermal management," in Proc. DAC, Sep. 2006, pp. 548-553. (Pubitemid 47113957)
-
(2006)
Proceedings - Design Automation Conference
, pp. 548-553
-
-
Kumar, A.1
Shang, L.2
Peh, L.-S.3
Jha, N.K.4
-
9
-
-
34247249821
-
An optimal analytical solution for processor speed control with thermal constraints
-
DOI 10.1145/1165573.1165643, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
R. Rao, S. Vrudhula, C. Chakrabarti, and N. Chang, "An optimal analytical solution for processor speed control with thermal constraints," in Proc. ISLPED, Oct. 2006, pp. 292-297. (Pubitemid 46609752)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 292-297
-
-
Rao, R.1
Vrudhula, S.2
Chakrabarti, C.3
Chang, N.4
-
10
-
-
52249084545
-
Dynamic thermal management through task scheduling
-
Apr.
-
J. Yang, X. Zhou, M. Chrobak, Y. Zhang, and L. Jin, "Dynamic thermal management through task scheduling," in Proc. Int. Symp. ISPASS, Apr. 2008, pp. 191-201.
-
(2008)
Proc. Int. Symp. ISPASS
, pp. 191-201
-
-
Yang, J.1
Zhou, X.2
Chrobak, M.3
Zhang, Y.4
Jin, L.5
-
11
-
-
79957474094
-
-
[Online]. Available:
-
Intel Turbo Boost White Paper [Online]. Available: http://www.intel. com/technology/turboboost
-
Intel Turbo Boost White Paper
-
-
-
12
-
-
70350742069
-
Optimizing throughput of power and thermal-constrained multicore processors using DVFS and per-core power gating
-
Jul.
-
J. Lee and N. S. Kim, "Optimizing throughput of power and thermal-constrained multicore processors using DVFS and per-core power gating," in Proc. DAC, Jul. 2009, pp. 47-50.
-
(2009)
Proc. DAC
, pp. 47-50
-
-
Lee, J.1
Kim, N.S.2
-
13
-
-
27544493676
-
Mitigating Amdahl's law through EPI throttling
-
Proceedings - 32nd International Symposium on Computer Architecture, ISCA 2005
-
M. Annavaram, E. Grochowski, and J. Shen, "Mitigating Amdahl's lowthrough EPI throttling," in Proc. ISCA, Jun. 2005, pp. 298-309. (Pubitemid 41543449)
-
(2005)
Proceedings - International Symposium on Computer Architecture
, pp. 298-309
-
-
Annavaram, M.1
Grochowski, E.2
Shen, J.3
-
14
-
-
85009352442
-
Temperature-aware microarchitecture: Modeling and implementation
-
Mar.
-
K. Skadron, M. R. Stan, K. Sankaranarayanan, W. Huang, S. Velusamy, and D. Tarjan, "Temperature-aware microarchitecture: Modeling and implementation," ACM Trans. Architect. Code Optimiz., vol. 1, no. 1, pp. 94-125, Mar. 2004.
-
(2004)
ACM Trans. Architect. Code Optimiz.
, vol.1
, Issue.1
, pp. 94-125
-
-
Skadron, K.1
Stan, M.R.2
Sankaranarayanan, K.3
Huang, W.4
Velusamy, S.5
Tarjan, D.6
-
15
-
-
70349746085
-
Temptor: A lightweight runtime temperature monitoring tool using performance counters
-
Y. Han, I. Koren, and C. M. Krishna, "Temptor: A lightweight runtime temperature monitoring tool using performance counters," in Proc. 3rd Workshop TACS, Held Conjunct. ISCA-33, 2006.
-
(2006)
Proc. 3rd Workshop TACS, Held Conjunct. ISCA-33
-
-
Han, Y.1
Koren, I.2
Krishna, C.M.3
-
16
-
-
37249022917
-
System-level dynamic thermal management for high-performance microprocessors
-
Jan.
-
A. Kumar, S. Li, P. Li-Shiuan, and N. K. Jha, "System-level dynamic thermal management for high-performance microprocessors," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, no. 1, pp. 96-108, Jan. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.27
, Issue.1
, pp. 96-108
-
-
Kumar, A.1
Li, S.2
Li-Shiuan, P.3
Jha, N.K.4
-
17
-
-
34547217005
-
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip
-
DOI 10.1145/1146909.1147068, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
D. Atienza, P. G. Del Valle, G. Paci, F. Poletti, L. Benini, G. De Micheli, and J. M. Mendias, "A fast HW/SW FPGA-based thermal emulation framework for multiprocessor system-on-chip," in Proc. DAC, Sep. 2006, pp. 618-623. (Pubitemid 47113970)
-
(2006)
Proceedings - Design Automation Conference
, pp. 618-623
-
-
Atienza, D.1
Del Valle, P.G.2
Paci, G.3
Poletti, F.4
Benini, L.5
De Micheli, G.6
Mendias, J.M.7
-
18
-
-
12344252114
-
Heat-and-Run: Leveraging SMT and CMP to manage power density through the operating system
-
Nov.
-
M. Gomaa, M. D. Powell, and T. N. Vijaykumar, "Heat-and-Run: Leveraging SMT and CMP to manage power density through the operating system," in Proc. ASPLOS, Nov. 2004, pp. 260-270.
-
(2004)
Proc. ASPLOS
, pp. 260-270
-
-
Gomaa, M.1
Powell, M.D.2
Vijaykumar, T.N.3
-
19
-
-
36949000833
-
Thermal-aware task scheduling at the system software level
-
DOI 10.1145/1283780.1283826, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
J. Choi, C. Chen-Yong, H. Franke, H. Hamann, A. Weger, and P. Bose, "Thermal-aware task scheduling at the system software level," in Proc. ISLPED, Aug. 2007, pp. 213-218. (Pubitemid 350239928)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 213-218
-
-
Choi, J.1
Cher, C.-Y.2
Franke, H.3
Hamann, H.4
Weger, A.5
Bose, P.6
-
20
-
-
50849108147
-
Static and dynamic temperature-aware scheduling for multiprocessor SoCs
-
Sep.
-
A. K. Coskun, T. T. Rosing, K. A. Whisnant, and K. C. Gross, "Static and dynamic temperature-aware scheduling for multiprocessor SoCs," IEEE Trans. Very Large Scale Integr. Syst., vol. 16, no. 9, pp. 1127-1140, Sep. 2008.
-
(2008)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.16
, Issue.9
, pp. 1127-1140
-
-
Coskun, A.K.1
Rosing, T.T.2
Whisnant, K.A.3
Gross, K.C.4
-
21
-
-
49749109086
-
Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs
-
Mar.
-
T. Chantem, R. P. Dick, and X. S. Hu, "Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs," in Proc. DATE, Mar. 2008, pp. 288-293.
-
(2008)
Proc. DATE
, pp. 288-293
-
-
Chantem, T.1
Dick, R.P.2
Hu, X.S.3
-
22
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
J. Donald and M. Martonosi, "Techniques for multicore thermal management: Classification and new exploration," in Proc. ISCA, 2006, pp. 78-88. (Pubitemid 46016606)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 78-88
-
-
Donald, J.1
Martonosi, M.2
-
23
-
-
38849083845
-
Temperature-aware processor frequency assignment for MPSoCs using convex optimization
-
DOI 10.1145/1289816.1289845, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
S. Murali, A. Mutapcic, D. Atienza, R. Gupta, S. Boyd, and G. De Micheli, "Temperature-aware processor frequency assignment for MPSoCs using convex optimization," in Proc. CODES+ISSS, Sep. 2007, pp. 111-116. (Pubitemid 351203952)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 111-116
-
-
Murali, S.1
Mutapcic, A.2
Atienza, D.3
Gupta, R.4
Boyd, S.5
De Micheli, G.6
-
24
-
-
57849150386
-
System-level thermal aware design of applications with uncertain execution times
-
Nov.
-
S. Zhang and K. S. Chatha, "System-level thermal aware design of applications with uncertain execution times," in Proc. ICCAD, Nov. 2008, pp. 242-249.
-
(2008)
Proc. ICCAD
, pp. 242-249
-
-
Zhang, S.1
Chatha, K.S.2
-
25
-
-
36949001469
-
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
-
DOI 10.1109/MICRO.2006.8, 4041859, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multicore global power management policies: Maximizing performance for a given power budget," in Proc. Int. Symp. Microarchitect., Dec. 2006, pp. 347-358. (Pubitemid 351337009)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 347-358
-
-
Isci, C.1
Buyuktosunoglu, A.2
Cher, C.-Y.3
Bose, P.4
Martonosi, M.5
-
26
-
-
49549114001
-
Exploring power management in multicore systems
-
Mar.
-
R. Bergamaschi, H. Guoling, A. Buyuktosunoglu, H. Patel, I. Nair,G. Dittmann, G. Janssen, N. Dhanwada, H. Zhigang, P. Bose, and J. Darringer, "Exploring power management in multicore systems," in Proc. ASPDAC, Mar. 2008, pp. 708-713.
-
(2008)
Proc. ASPDAC
, pp. 708-713
-
-
Bergamaschi, R.1
Guoling, H.2
Buyuktosunoglu, A.3
Patel, H.4
Nair, I.5
Dittmann, G.6
Janssen, G.7
Dhanwada, N.8
Zhigang, H.9
Bose, P.10
Darringer, J.11
-
27
-
-
38849163462
-
Three-dimensional multiprocessor system-on-chip thermal optimization
-
DOI 10.1145/1289816.1289846, CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
-
C. Sun, L. Shang, and R. P. Dick, "3-D multiprocessor system-on-chip thermal optimization," in Proc. Int. Conf. Hardware/Software Codes. Syst. Synthesis, Oct. 2007, pp. 117-122. (Pubitemid 351203953)
-
(2007)
CODES+ISSS 2007: International Conference on Hardware/Software Codesign and System Synthesis
, pp. 117-122
-
-
Sun, C.1
Shang, L.2
Dick, R.P.3
-
28
-
-
62949097046
-
Processor frequency assignment in 3-D MPSoCs under thermal constraints by polynomial programming
-
Nov.-Dec.
-
G. Zhao, H.-K. Kwan, C.-U. Lei, and N. Wong, "Processor frequency assignment in 3-D MPSoCs under thermal constraints by polynomial programming," in Proc. APCCAS, Nov.-Dec. 2008, pp. 1668-1671.
-
(2008)
Proc. APCCAS
, pp. 1668-1671
-
-
Zhao, G.1
Kwan, H.-K.2
Lei, C.-U.3
Wong, N.4
-
29
-
-
47849132667
-
3-D chip-multiprocessor runtime thermal management
-
Aug.
-
C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph, "3-D chip-multiprocessor runtime thermal management," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, no. 8, pp. 1479-1492, Aug. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.27
, Issue.8
, pp. 1479-1492
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.P.4
Joseph, R.5
-
30
-
-
72649091934
-
Thermal-aware task scheduling for 3-D multicore processors
-
Jan.
-
X. Zhou, J.Yang,Y. Xu,Y. Zhang, and J. Zhao, "Thermal-aware task scheduling for 3-D multicore processors," IEEE Trans. Parallel Distributed Syst., vol. 21, no. 1, pp. 60-71, Jan. 2010.
-
(2010)
IEEE Trans. Parallel Distributed Syst.
, vol.21
, Issue.1
, pp. 60-71
-
-
Zhou, X.1
Yang, J.2
Xu, Y.3
Zhang, Y.4
Zhao, J.5
-
31
-
-
70350055176
-
Dynamic thermal management in 3-D multicore architectures
-
A. K. Coskun, J. L.Ayala, D. Atienza, T. S. Rosing, andY. Leblebici, "Dynamic thermal management in 3-D multicore architectures," in Proc. DATE, 2009, pp. 1410-1415.
-
(2009)
Proc. DATE
, pp. 1410-1415
-
-
Coskun, A.K.1
Ayala, J.L.2
Atienza, D.3
Rosing, T.S.4
Leblebici, Y.5
-
33
-
-
33746400169
-
HotSpot: A compact thermal modeling methodology for early-stage VLSI design
-
DOI 10.1109/TVLSI.2006.876103, 1650228
-
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. R. Stan, "HotSpot: A compact thermal modeling methodology for early-stage VLSI design," IEEE Trans. Very Large Scale Integr. Syst., vol. 14, no. 5, pp. 501-513, May 2006. (Pubitemid 44121537)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.5
, pp. 501-513
-
-
Huang, W.1
Ghosh, S.2
Velusamy, S.3
Sankaranarayanan, K.4
Skadron, K.5
Stan, M.R.6
-
34
-
-
40349090128
-
Die stacking (3-D) microarchitecture
-
Dec.
-
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D.W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, "Die stacking (3-D) microarchitecture," in Proc. Int. Symp. Microarchitect., Dec. 2006, pp. 469-479.
-
(2006)
Proc. Int. Symp. Microarchitect.
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
DeVale, J.4
Jiang, L.5
Loh, G.H.6
McCaule, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
Shen, J.14
Webb, C.15
-
35
-
-
34548370973
-
Adaptive multidomain thermal modeling and analysis for integrated circuit synthesis and design
-
Nov.
-
Y. Yang, C. Zhu, Z. Gu, L. Shang, and R. P. Dick, "Adaptive multidomain thermal modeling and analysis for integrated circuit synthesis and design," in Proc. ICCAD, Nov. 2006, pp. 575-582.
-
(2006)
Proc. ICCAD
, pp. 575-582
-
-
Yang, Y.1
Zhu, C.2
Gu, Z.3
Shang, L.4
Dick, R.P.5
-
36
-
-
72949089066
-
Predictive temperature-aware DVFS
-
Jan.
-
J. S. Lee, K. Skadron, and S.W. Chung, "Predictive temperature-aware DVFS," IEEE Trans. Comput., vol. 59, no. 1, pp. 127-133, Jan. 2010.
-
(2010)
IEEE Trans. Comput.
, vol.59
, Issue.1
, pp. 127-133
-
-
Lee, J.S.1
Skadron, K.2
Chung, S.W.3
-
37
-
-
34547699255
-
A memory-level parallelism aware fetch policy for SMT processors
-
DOI 10.1109/HPCA.2007.346201, 4147664, 2007 IEEE 13th Annual International Symposium on High Performance Computer Architecture, HPCA-13
-
S. Eyerman and L. Eeckhout, "A memory-level parallelism aware fetch policy for SMT processors," in Proc. HPCA, 2007, pp. 240-249. (Pubitemid 47208168)
-
(2007)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 240-249
-
-
Eyerman, S.1
Eeckhout, L.2
-
38
-
-
0000703172
-
Generalized Lagrange multiplier method for solving problems of optimum allocation of resources
-
H. Everett, III, "Generalized Lagrange multiplier method for solving problems of optimum allocation of resources," Oper. Res., vol. 11, no. 3, pp. 399-417, 1963.
-
(1963)
Oper. Res.
, vol.11
, Issue.3
, pp. 399-417
-
-
Everett III, H.1
-
39
-
-
34548817260
-
The implementation of the 65 nm dual-core 64 b Merom processor
-
Feb.
-
N. Sakran, M. Yuffe, M. Mehalel, J. Doweck, E. Knoll, and A. Kovacs, "The implementation of the 65 nm dual-core 64 b Merom processor," in Proc. ISSCC, Feb. 2007, pp. 106-590.
-
(2007)
Proc. ISSCC
, pp. 106-590
-
-
Sakran, N.1
Yuffe, M.2
Mehalel, M.3
Doweck, J.4
Knoll, E.5
Kovacs, A.6
-
40
-
-
79957441570
-
Intel core2 duo processors and Intel core2 extreme processors for platforms based on mobile Intel 965 express chipset family
-
Intel
-
Intel, "Intel core2 duo processors and Intel core2 extreme processors for platforms based on mobile Intel 965 express chipset family," Datasheet, 2008, pp. 23-40.
-
(2008)
Datasheet
, pp. 23-40
-
-
-
41
-
-
22544456242
-
Temperature and supply voltage aware performance and power modeling at microarchitecture level
-
DOI 10.1109/TCAD.2005.850860
-
W. Liao, L. He, and K. M. Lepak, "Temperature and supply voltage aware performance and power modeling at microarchitecture level," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 7, pp. 1042-1053, Jul. 2005. (Pubitemid 41013053)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.7
, pp. 1042-1053
-
-
Liao, W.1
He, L.2
Lepak, K.M.3
-
44
-
-
28244437702
-
Heterogeneous chip multiprocessors
-
DOI 10.1109/MC.2005.379
-
R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, "Heterogeneous chip multiprocessors," IEEE Comput., vol. 38, no. 11, pp. 32-38, Nov. 2005. (Pubitemid 41709765)
-
(2005)
Computer
, vol.38
, Issue.11
, pp. 32-38
-
-
Kumar, R.1
Tullsen, D.M.2
Jouppi, N.P.3
Ranganathan, P.4
-
46
-
-
70349229453
-
Processor speed control with thermal constraints
-
Sep.
-
A. Mutapcic, S. Boyd, S. Murali, D. Atienza, G. De Micheli, and R. Gupta, "Processor speed control with thermal constraints," IEEE Trans. Circuits Syst. Part I: Reg. Papers, vol. 56, no. 9, pp. 1994-2008, Sep. 2009.
-
(2009)
IEEE Trans. Circuits Syst. Part I: Reg. Papers
, vol.56
, Issue.9
, pp. 1994-2008
-
-
Mutapcic, A.1
Boyd, S.2
Murali, S.3
Atienza, D.4
De Micheli, G.5
Gupta, R.6
-
47
-
-
76349084930
-
TAPE: Thermal-aware agent-based power economy for multi/many-core architectures
-
Nov.
-
T. Ebi, M. A. A. Farugue, and J. Henkel, "TAPE: Thermal-aware agent-based power economy for multi/many-core architectures," in Proc. ICCAD, Nov. 2009, pp. 302-309.
-
(2009)
Proc. ICCAD
, pp. 302-309
-
-
Ebi, T.1
Farugue, M.A.A.2
Henkel, J.3
-
48
-
-
11844285622
-
Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times
-
DOI 10.1109/TCAD.2004.839485, Design Automation and Test in Europe 2004
-
K. Choi, R. Soma, and M. Pedram, "Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 1, pp. 18-28, Jan. 2005. (Pubitemid 40086145)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.1
, pp. 18-28
-
-
Choi, K.1
Soma, R.2
Pedram, M.3
-
49
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
W. Kim, M. S. Gupta, G.-Y.Wei, and D. Brooks, "System level analysis of fast, per-core DVFS using on-chip switching regulators," in Proc. HPCA, 2008, pp. 123-134.
-
(2008)
Proc. HPCA
, pp. 123-134
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.-Y.3
Brooks, D.4
-
50
-
-
34547664408
-
-
HP Laboratories, Palo Alto, CA, Tech. Rep. HPL-2006-86, Jun.
-
D. Tarjan, S. Thoziyoor, and N. P. Jouppi, "CACTI 4.0," HP Laboratories, Palo Alto, CA, Tech. Rep. HPL-2006-86, Jun. 2006.
-
(2006)
CACTI 4.0
-
-
Tarjan, D.1
Thoziyoor, S.2
Jouppi, N.P.3
-
51
-
-
33646169176
-
Run-time modeling and estimation of operating system power consumption
-
T. Li and L. K. John, "Run-time modeling and estimation of operating system power consumption," in Proc. SIGMETRICS, 2003, pp. 160-171.
-
(2003)
Proc. SIGMETRICS
, pp. 160-171
-
-
Li, T.1
John, L.K.2
-
52
-
-
84943394822
-
Integrating complete-system and user-level performance/power simulators: The SimWattch approach
-
Mar.
-
J. W. Chen, M. Dubois, and P. Stenstrom, "Integrating complete-system and user-level performance/power simulators: The SimWattch approach," in Proc. ISPASS, Mar. 2003, pp. 1-10.
-
(2003)
Proc. ISPASS
, pp. 1-10
-
-
Chen, J.W.1
Dubois, M.2
Stenstrom, P.3
-
53
-
-
33749052315
-
The ALPBench benchmark suite for complex multimedia applications
-
Oct.
-
M.-L. Li, R. Sasanka, S. V. Adve, Y.-K. Chen, and E. Debes, "The ALPBench benchmark suite for complex multimedia applications," in Proc. Int. Symp. Workload Characterization, Oct. 2005, pp. 34-35.
-
(2005)
Proc. Int. Symp. Workload Characterization
, pp. 34-35
-
-
Li, M.-L.1
Sasanka, R.2
Adve, S.V.3
Chen, Y.-K.4
Debes, E.5
|