메뉴 건너뛰기




Volumn 30, Issue 6, 2011, Pages 905-918

Runtime power management of 3-D multi-core architectures under peak power and temperature constraints

Author keywords

3 D integration; chip multiprocessor; dynamic voltage and frequency scaling (DVFS); power management; thermal management

Indexed keywords

3-D INTEGRATION; CHIP-MULTIPROCESSOR; DYNAMIC VOLTAGE AND FREQUENCY SCALING (DVFS); POWER MANAGEMENT; THERMAL MANAGEMENT;

EID: 79957457905     PISSN: 02780070     EISSN: None     Source Type: Journal    
DOI: 10.1109/TCAD.2010.2101371     Document Type: Article
Times cited : (31)

References (54)
  • 1
    • 79957525949 scopus 로고    scopus 로고
    • Intel Products [Online]. Available:
    • Intel Products [Online]. Available: http://www.intel.com/products/ processor/index.htm
  • 2
    • 79957523138 scopus 로고    scopus 로고
    • AMD Product [Online]. Available:
    • AMD Product [Online]. Available: http://www.amd.com/US/PRODUCTS/Pages/ products.aspx
  • 5
    • 79957470481 scopus 로고    scopus 로고
    • ARM Products [Online]. Available:
    • ARM Products [Online]. Available: http://www.arm.com/products/CPUs/index. html
  • 6
    • 44849137198 scopus 로고    scopus 로고
    • NVIDIA Tesla: A unified graphics and computing architecture
    • DOI 10.1109/MM.2008.31
    • E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, "NVIDIA Tesla: A unified graphics and computing architecture," IEEE Micro, vol. 28, no. 2, pp. 39-55, Mar. 2008. (Pubitemid 351796170)
    • (2008) IEEE Micro , vol.28 , Issue.2 , pp. 39-55
    • Lindholm, E.1    Nickolls, J.2    Oberman, S.3    Montrym, J.4
  • 8
    • 34547143358 scopus 로고    scopus 로고
    • HybDTM: A coordinated hardware-software approach for dynamic thermal management
    • DOI 10.1145/1146909.1147052, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
    • A. Kumar, S. Li, P. Li-Shiuan, and N. K. Jha, "HybDTM: A coordinated hardware-software approach for dynamic thermal management," in Proc. DAC, Sep. 2006, pp. 548-553. (Pubitemid 47113957)
    • (2006) Proceedings - Design Automation Conference , pp. 548-553
    • Kumar, A.1    Shang, L.2    Peh, L.-S.3    Jha, N.K.4
  • 11
    • 79957474094 scopus 로고    scopus 로고
    • [Online]. Available:
    • Intel Turbo Boost White Paper [Online]. Available: http://www.intel. com/technology/turboboost
    • Intel Turbo Boost White Paper
  • 12
    • 70350742069 scopus 로고    scopus 로고
    • Optimizing throughput of power and thermal-constrained multicore processors using DVFS and per-core power gating
    • Jul.
    • J. Lee and N. S. Kim, "Optimizing throughput of power and thermal-constrained multicore processors using DVFS and per-core power gating," in Proc. DAC, Jul. 2009, pp. 47-50.
    • (2009) Proc. DAC , pp. 47-50
    • Lee, J.1    Kim, N.S.2
  • 18
    • 12344252114 scopus 로고    scopus 로고
    • Heat-and-Run: Leveraging SMT and CMP to manage power density through the operating system
    • Nov.
    • M. Gomaa, M. D. Powell, and T. N. Vijaykumar, "Heat-and-Run: Leveraging SMT and CMP to manage power density through the operating system," in Proc. ASPLOS, Nov. 2004, pp. 260-270.
    • (2004) Proc. ASPLOS , pp. 260-270
    • Gomaa, M.1    Powell, M.D.2    Vijaykumar, T.N.3
  • 21
    • 49749109086 scopus 로고    scopus 로고
    • Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs
    • Mar.
    • T. Chantem, R. P. Dick, and X. S. Hu, "Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs," in Proc. DATE, Mar. 2008, pp. 288-293.
    • (2008) Proc. DATE , pp. 288-293
    • Chantem, T.1    Dick, R.P.2    Hu, X.S.3
  • 22
    • 33845904113 scopus 로고    scopus 로고
    • Techniques for multicore thermal management: Classification and new exploration
    • DOI 10.1109/ISCA.2006.39, 1635942, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
    • J. Donald and M. Martonosi, "Techniques for multicore thermal management: Classification and new exploration," in Proc. ISCA, 2006, pp. 78-88. (Pubitemid 46016606)
    • (2006) Proceedings - International Symposium on Computer Architecture , vol.2006 , pp. 78-88
    • Donald, J.1    Martonosi, M.2
  • 24
    • 57849150386 scopus 로고    scopus 로고
    • System-level thermal aware design of applications with uncertain execution times
    • Nov.
    • S. Zhang and K. S. Chatha, "System-level thermal aware design of applications with uncertain execution times," in Proc. ICCAD, Nov. 2008, pp. 242-249.
    • (2008) Proc. ICCAD , pp. 242-249
    • Zhang, S.1    Chatha, K.S.2
  • 25
    • 36949001469 scopus 로고    scopus 로고
    • An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
    • DOI 10.1109/MICRO.2006.8, 4041859, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
    • C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multicore global power management policies: Maximizing performance for a given power budget," in Proc. Int. Symp. Microarchitect., Dec. 2006, pp. 347-358. (Pubitemid 351337009)
    • (2006) Proceedings of the Annual International Symposium on Microarchitecture, MICRO , pp. 347-358
    • Isci, C.1    Buyuktosunoglu, A.2    Cher, C.-Y.3    Bose, P.4    Martonosi, M.5
  • 28
    • 62949097046 scopus 로고    scopus 로고
    • Processor frequency assignment in 3-D MPSoCs under thermal constraints by polynomial programming
    • Nov.-Dec.
    • G. Zhao, H.-K. Kwan, C.-U. Lei, and N. Wong, "Processor frequency assignment in 3-D MPSoCs under thermal constraints by polynomial programming," in Proc. APCCAS, Nov.-Dec. 2008, pp. 1668-1671.
    • (2008) Proc. APCCAS , pp. 1668-1671
    • Zhao, G.1    Kwan, H.-K.2    Lei, C.-U.3    Wong, N.4
  • 35
    • 34548370973 scopus 로고    scopus 로고
    • Adaptive multidomain thermal modeling and analysis for integrated circuit synthesis and design
    • Nov.
    • Y. Yang, C. Zhu, Z. Gu, L. Shang, and R. P. Dick, "Adaptive multidomain thermal modeling and analysis for integrated circuit synthesis and design," in Proc. ICCAD, Nov. 2006, pp. 575-582.
    • (2006) Proc. ICCAD , pp. 575-582
    • Yang, Y.1    Zhu, C.2    Gu, Z.3    Shang, L.4    Dick, R.P.5
  • 36
    • 72949089066 scopus 로고    scopus 로고
    • Predictive temperature-aware DVFS
    • Jan.
    • J. S. Lee, K. Skadron, and S.W. Chung, "Predictive temperature-aware DVFS," IEEE Trans. Comput., vol. 59, no. 1, pp. 127-133, Jan. 2010.
    • (2010) IEEE Trans. Comput. , vol.59 , Issue.1 , pp. 127-133
    • Lee, J.S.1    Skadron, K.2    Chung, S.W.3
  • 38
    • 0000703172 scopus 로고
    • Generalized Lagrange multiplier method for solving problems of optimum allocation of resources
    • H. Everett, III, "Generalized Lagrange multiplier method for solving problems of optimum allocation of resources," Oper. Res., vol. 11, no. 3, pp. 399-417, 1963.
    • (1963) Oper. Res. , vol.11 , Issue.3 , pp. 399-417
    • Everett III, H.1
  • 39
    • 34548817260 scopus 로고    scopus 로고
    • The implementation of the 65 nm dual-core 64 b Merom processor
    • Feb.
    • N. Sakran, M. Yuffe, M. Mehalel, J. Doweck, E. Knoll, and A. Kovacs, "The implementation of the 65 nm dual-core 64 b Merom processor," in Proc. ISSCC, Feb. 2007, pp. 106-590.
    • (2007) Proc. ISSCC , pp. 106-590
    • Sakran, N.1    Yuffe, M.2    Mehalel, M.3    Doweck, J.4    Knoll, E.5    Kovacs, A.6
  • 40
    • 79957441570 scopus 로고    scopus 로고
    • Intel core2 duo processors and Intel core2 extreme processors for platforms based on mobile Intel 965 express chipset family
    • Intel
    • Intel, "Intel core2 duo processors and Intel core2 extreme processors for platforms based on mobile Intel 965 express chipset family," Datasheet, 2008, pp. 23-40.
    • (2008) Datasheet , pp. 23-40
  • 41
    • 22544456242 scopus 로고    scopus 로고
    • Temperature and supply voltage aware performance and power modeling at microarchitecture level
    • DOI 10.1109/TCAD.2005.850860
    • W. Liao, L. He, and K. M. Lepak, "Temperature and supply voltage aware performance and power modeling at microarchitecture level," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 7, pp. 1042-1053, Jul. 2005. (Pubitemid 41013053)
    • (2005) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol.24 , Issue.7 , pp. 1042-1053
    • Liao, W.1    He, L.2    Lepak, K.M.3
  • 44
    • 28244437702 scopus 로고    scopus 로고
    • Heterogeneous chip multiprocessors
    • DOI 10.1109/MC.2005.379
    • R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, "Heterogeneous chip multiprocessors," IEEE Comput., vol. 38, no. 11, pp. 32-38, Nov. 2005. (Pubitemid 41709765)
    • (2005) Computer , vol.38 , Issue.11 , pp. 32-38
    • Kumar, R.1    Tullsen, D.M.2    Jouppi, N.P.3    Ranganathan, P.4
  • 47
    • 76349084930 scopus 로고    scopus 로고
    • TAPE: Thermal-aware agent-based power economy for multi/many-core architectures
    • Nov.
    • T. Ebi, M. A. A. Farugue, and J. Henkel, "TAPE: Thermal-aware agent-based power economy for multi/many-core architectures," in Proc. ICCAD, Nov. 2009, pp. 302-309.
    • (2009) Proc. ICCAD , pp. 302-309
    • Ebi, T.1    Farugue, M.A.A.2    Henkel, J.3
  • 48
    • 11844285622 scopus 로고    scopus 로고
    • Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times
    • DOI 10.1109/TCAD.2004.839485, Design Automation and Test in Europe 2004
    • K. Choi, R. Soma, and M. Pedram, "Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 1, pp. 18-28, Jan. 2005. (Pubitemid 40086145)
    • (2005) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems , vol.24 , Issue.1 , pp. 18-28
    • Choi, K.1    Soma, R.2    Pedram, M.3
  • 49
    • 57749178620 scopus 로고    scopus 로고
    • System level analysis of fast, per-core DVFS using on-chip switching regulators
    • W. Kim, M. S. Gupta, G.-Y.Wei, and D. Brooks, "System level analysis of fast, per-core DVFS using on-chip switching regulators," in Proc. HPCA, 2008, pp. 123-134.
    • (2008) Proc. HPCA , pp. 123-134
    • Kim, W.1    Gupta, M.S.2    Wei, G.-Y.3    Brooks, D.4
  • 50
    • 34547664408 scopus 로고    scopus 로고
    • HP Laboratories, Palo Alto, CA, Tech. Rep. HPL-2006-86, Jun.
    • D. Tarjan, S. Thoziyoor, and N. P. Jouppi, "CACTI 4.0," HP Laboratories, Palo Alto, CA, Tech. Rep. HPL-2006-86, Jun. 2006.
    • (2006) CACTI 4.0
    • Tarjan, D.1    Thoziyoor, S.2    Jouppi, N.P.3
  • 51
    • 33646169176 scopus 로고    scopus 로고
    • Run-time modeling and estimation of operating system power consumption
    • T. Li and L. K. John, "Run-time modeling and estimation of operating system power consumption," in Proc. SIGMETRICS, 2003, pp. 160-171.
    • (2003) Proc. SIGMETRICS , pp. 160-171
    • Li, T.1    John, L.K.2
  • 52
    • 84943394822 scopus 로고    scopus 로고
    • Integrating complete-system and user-level performance/power simulators: The SimWattch approach
    • Mar.
    • J. W. Chen, M. Dubois, and P. Stenstrom, "Integrating complete-system and user-level performance/power simulators: The SimWattch approach," in Proc. ISPASS, Mar. 2003, pp. 1-10.
    • (2003) Proc. ISPASS , pp. 1-10
    • Chen, J.W.1    Dubois, M.2    Stenstrom, P.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.