-
1
-
-
84963769321
-
-
"CORAL Benchmark Codes." [Online]. Available: https://asc.llnl.gov/CORAL-benchmarks/
-
CORAL Benchmark Codes
-
-
-
2
-
-
85009401853
-
-
"Samsung DDR4 SDRAM." [Online]. Available: http://www.samsung.com/global/business/semiconductor/product/dram
-
Samsung DDR4 SDRAM
-
-
-
4
-
-
85009377435
-
-
JEDEC Standard: DDR4 SDRAM
-
"JEDEC Standard: DDR4 SDRAM, " 2012.
-
(2012)
-
-
-
7
-
-
85009375279
-
A logic-base interconnect for supporting near memory computation in the hybrid memory cube
-
Dec
-
E. Azarkhish, D. Rossi, I. Loi, and L. Benini, "A Logic-base Interconnect for Supporting Near Memory Computation in the Hybrid Memory Cube, " in Workshop on Near-Data Processing, Dec 2014.
-
(2014)
Workshop on Near-Data Processing
-
-
Azarkhish, E.1
Rossi, D.2
Loi, I.3
Benini, L.4
-
8
-
-
49549102033
-
A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with multifaceted clocking and isi/ssn-reduction techniques
-
Feb
-
S.-J. Bae, Y.-S. Sohn, K. ll Park, K.-H. Kim, D.-H. Chung, J.-G. Kim, S.-H. Kim, M.-S. Park, J.-H. Lee, S.-Y. Bang, H.-K. Lee, I.-S. Park, J.-S. Kim, D.-H. Kim, H.-R. Kim, Y.-J. Shin, C.-G. Park, G.-S. Moon, K.-W. Yeom, K.-Y. Kim, J.-Y. Lee, H.-J. Yang, S.-J. Jang, J. S. Choi, Y.-H. Jun, and K. Kim, "A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques, " in IEEE International Solid-State Circuits Conference (ISSCC), Feb 2008.
-
(2008)
IEEE International Solid-State Circuits Conference (ISSCC)
-
-
Bae, S.-J.1
Sohn, Y.-S.2
Park Ll, K.3
Kim, K.-H.4
Chung, D.-H.5
Kim, J.-G.6
Kim, S.-H.7
Park, M.-S.8
Lee, J.-H.9
Bang, S.-Y.10
Lee, H.-K.11
Park, I.-S.12
Kim, J.-S.13
Kim, D.-H.14
Kim, H.-R.15
Shin, Y.-J.16
Park, C.-G.17
Moon, G.-S.18
Yeom, K.-W.19
Kim, K.-Y.20
Lee, J.-Y.21
Yang, H.-J.22
Jang, S.-J.23
Choi, J.S.24
Jun, Y.-H.25
Kim, K.26
more..
-
9
-
-
84881179047
-
Efficient virtual memory for big memory servers
-
June
-
A. Basu, J. Gandhi, J. Chang, M. D. Hill, and M. M. Swift, "Efficient Virtual Memory for Big Memory Servers, " in ISCA, June 2013.
-
(2013)
ISCA
-
-
Basu, A.1
Gandhi, J.2
Chang, J.3
Hill, M.D.4
Swift, M.M.5
-
10
-
-
84890064931
-
Role of interconnects in the future of computing
-
Dec
-
S. Borkar, "Role of Interconnects in the Future of Computing, " IEEE Journal of Lightwave Technology, vol. 31, no. 24, Dec 2013.
-
(2013)
IEEE Journal of Lightwave Technology
, vol.31
, Issue.24
-
-
Borkar, S.1
-
11
-
-
84877746670
-
Reevaluating the latency claims of 3d stacked memories
-
Jan
-
D. W. Chang, G.-s. Byun, H. Kim, M. Ahn, S. Ryu, N. Kim, and M. Schulte, "Reevaluating the Latency Claims of 3D Stacked Memories, " in IEEE Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 2013.
-
(2013)
IEEE Asia and South Pacific Design Automation Conference (ASP-DAC)
-
-
Chang, D.W.1
Byun, G.-S.2
Kim, H.3
Ahn, M.4
Ryu, S.5
Kim, N.6
Schulte, M.7
-
12
-
-
84893366819
-
Dynamic bandwidth scaling for embedded DSPs with 3Dstacked DRAM and wide I/Os
-
D. W. Chang, Y. H. Son, J. Ahn, H. Kim, M. Ahn, M. J. Schulte, and N. S. Kim, "Dynamic bandwidth scaling for embedded DSPs with 3Dstacked DRAM and wide I/Os, " in ICCAD, 2013.
-
(2013)
ICCAD
-
-
Chang, D.W.1
Son, Y.H.2
Ahn, J.3
Kim, H.4
Ahn, M.5
Schulte, M.J.6
Kim, N.S.7
-
13
-
-
70649092154
-
Rodinia: A benchmark suite for heterogeneous computing
-
Oct
-
S. Che, M. Boyer, J. Meng, D. Tarjan, J. W. Sheaffer, S.-H. Lee, and K. Skadron, "Rodinia: A Benchmark Suite for Heterogeneous Computing, " in IISWC, Oct 2009.
-
(2009)
IISWC
-
-
Che, S.1
Boyer, M.2
Meng, J.3
Tarjan, D.4
Sheaffer, J.W.5
Lee, S.-H.6
Skadron, K.7
-
14
-
-
84934332496
-
Highlevel programming model abstractions for processing in memory
-
Dec
-
M. L. Chu, N. Jayasena, D. P. Jang, and M. Ignatowski, "Highlevel Programming Model Abstractions for Processing in Memory, " in Workshop on Near-Data Processing, Dec 2013.
-
(2013)
Workshop on Near-Data Processing
-
-
Chu, M.L.1
Jayasena, N.2
Jang, D.P.3
Ignatowski, M.4
-
16
-
-
84911882265
-
An efficient and scalable semiconductor architecture for parallel automata processing
-
Dec
-
P. Dlugosch, D. Brown, P. Glendenning, M. Leventhal, and H. Noyes, "An Efficient and Scalable Semiconductor Architecture for Parallel Automata Processing, " IEEE Transactions on Parallel and Distributed Systems (TPDS), vol. 25, no. 12, Dec 2014.
-
(2014)
IEEE Transactions on Parallel and Distributed Systems (TPDS)
, vol.25
, Issue.12
-
-
Dlugosch, P.1
Brown, D.2
Glendenning, P.3
Leventhal, M.4
Noyes, H.5
-
17
-
-
0036374270
-
The architecture of the diva processing-in-memory chip
-
Jun
-
J. Draper, J. Chame, M. Hall, C. Steele, T. Barrett, J. LaCoss, J. Granacki, J. Shin, C. Chen, C. W. Kang, I. Kim, and G. Daglikoca, "The Architecture of the DIVA Processing-in-memory Chip, " in ICS, Jun 2002.
-
(2002)
ICS
-
-
Draper, J.1
Chame, J.2
Hall, M.3
Steele, C.4
Barrett, T.5
LaCoss, J.6
Granacki, J.7
Shin, J.8
Chen, C.9
Kang, C.W.10
Kim, I.11
Daglikoca, G.12
-
20
-
-
84934280905
-
NDA: Near-DRAM acceleration architecture leveraging commodity dram devices and standard memory modules
-
Feb
-
A. Farmahini-Farahani, J. Ahn, K. Morrow, and N. S. Kim, "NDA: Near-DRAM Acceleration Architecture Leveraging Commodity DRAM Devices and Standard Memory Modules, " in HPCA, Feb 2015.
-
(2015)
HPCA
-
-
Farmahini-Farahani, A.1
Ahn, J.2
Morrow, K.3
Kim, N.S.4
-
21
-
-
34547653935
-
Fully-Buffered DIMM memory architectures: Understanding mechanisms, overheads and scaling
-
Feb
-
B. Ganesh, A. Jaleel, D. Wang, and B. Jacob, "Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling, " in HPCA, Feb 2007.
-
(2007)
HPCA
-
-
Ganesh, B.1
Jaleel, A.2
Wang, D.3
Jacob, B.4
-
22
-
-
84881119037
-
AC-DIMM: Associative computing with STT-MRAM
-
Jun
-
Q. Guo, X. Guo, R. Patel, E. Ipek, and E. Friedman, "AC-DIMM: Associative Computing with STT-MRAM, " in ISCA, Jun 2013.
-
(2013)
ISCA
-
-
Guo, Q.1
Guo, X.2
Patel, R.3
Ipek, E.4
Friedman, E.5
-
23
-
-
84858781934
-
A Resistive TCAM accelerator for data-intensive computing
-
Dec
-
Q. Guo, X. Guo, Y. Bai, and E. Ipek, "A Resistive TCAM Accelerator for Data-intensive Computing, " in MICRO, Dec 2011.
-
(2011)
MICRO
-
-
Guo, Q.1
Guo, X.2
Bai, Y.3
Ipek, E.4
-
24
-
-
84899111809
-
Haswell: The fourth-generation intel core processor
-
Mar
-
P. Hammarlund, A. Martinez, A. Bajwa, D. Hill, E. Hallnor, H. Jiang, M. Dixon, M. Derr, M. Hunsaker, R. Kumar, R. Osborne, R. Rajwar, R. Singhal, R. DSa, R. Chappell, S. Kaushik, S. Chennupaty, S. Jourdan, S. Gunther, T. Piazza, and T. Burton, "Haswell: The Fourth-Generation Intel Core Processor, " IEEE Micro, vol. 34, no. 2, Mar 2014.
-
(2014)
IEEE Micro
, vol.34
, Issue.2
-
-
Hammarlund, P.1
Martinez, A.2
Bajwa, A.3
Hill, D.4
Hallnor, E.5
Jiang, H.6
Dixon, M.7
Derr, M.8
Hunsaker, M.9
Kumar, R.10
Osborne, R.11
Rajwar, R.12
Singhal, R.13
DSa, R.14
Chappell, R.15
Kaushik, S.16
Chennupaty, S.17
Jourdan, S.18
Gunther, S.19
Piazza, T.20
Burton, T.21
more..
-
25
-
-
33646922057
-
The future of wires
-
Apr
-
R. Ho, K. Mai, and M. Horowitz, "The Future of Wires, " Proceedings of the IEEE, vol. 89, no. 4, Apr 2001.
-
(2001)
Proceedings of the IEEE
, vol.89
, Issue.4
-
-
Ho, R.1
Mai, K.2
Horowitz, M.3
-
27
-
-
70449473283
-
BER Measurement of a 5.8-Gb/s/pin unidirectional differential I/O for DRAM Application with DIMM Channel
-
Nov
-
Y.-C. Jang, H. Chung, Y. Choi, H. Park, J. Kim, S. Lim, J. Sunwoo, M.-S. Park, H.-S. Kim, S.-Y. Kim, Y.-S. Lee, W.-S. Kim, J.-B. Lee, J. Yoo, and C. Kim, "BER Measurement of a 5.8-Gb/s/pin Unidirectional Differential I/O for DRAM Application with DIMM Channel, " IEEE Journal of Solid-State Circuits, vol. 44, no. 11, Nov 2009.
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.11
-
-
Jang, Y.-C.1
Chung, H.2
Choi, Y.3
Park, H.4
Kim, J.5
Lim, S.6
Sunwoo, J.7
Park, M.-S.8
Kim, H.-S.9
Kim, S.-Y.10
Lee, Y.-S.11
Kim, W.-S.12
Lee, J.-B.13
Yoo, J.14
Kim, C.15
-
28
-
-
0033299230
-
Flexram: Toward an advanced intelligent memory system
-
Oct
-
Y. Kang, W. Huang, S.-M. Yoo, D. Keen, Z. Ge, V. Lam, P. Pattnaik, and J. Torrellas, "FlexRAM: Toward an Advanced Intelligent Memory System, " in IEEE International Conference on Computer Design (ICCD), Oct 1999.
-
(1999)
IEEE International Conference on Computer Design (ICCD)
-
-
Kang, Y.1
Huang, W.2
Yoo, S.-M.3
Keen, D.4
Ge, Z.5
Lam, V.6
Pattnaik, P.7
Torrellas, J.8
-
29
-
-
80054875176
-
GPUs and the future of parallel computing
-
Sep
-
S. W. Keckler, W. J. Dally, B. Khailany, M. Garland, and D. Glasco, "GPUs and the Future of Parallel Computing, " IEEE Micro, vol. 31, no. 5, Sep 2011.
-
(2011)
IEEE Micro
, vol.31
, Issue.5
-
-
Keckler, S.W.1
Dally, W.J.2
Khailany, B.3
Garland, M.4
Glasco, D.5
-
30
-
-
84887466693
-
Memory-centric system interconnect design with hybrid memory cubes
-
G. Kim, J. Kim, J. H. Ahn, and J. Kim, "Memory-centric System Interconnect Design with Hybrid Memory Cubes, " in International Conference on Parallel Architectures and Compilation Techniques(PACT), 2013.
-
(2013)
International Conference on Parallel Architectures and Compilation Techniques(PACT)
-
-
Kim, G.1
Kim, J.2
Ahn, J.H.3
Kim, J.4
-
31
-
-
79951718838
-
Thread cluster memory scheduling: Exploiting differences in memory access behavior
-
Dec
-
Y. Kim, M. Papamichael, O. Mutulu, and M. Harchol-Balter, "Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior, " in MICRO, Dec 2010.
-
(2010)
MICRO
-
-
Kim, Y.1
Papamichael, M.2
Mutulu, O.3
Harchol-Balter, M.4
-
32
-
-
84946691449
-
An investigation of unified memory access performance in CUDA
-
Sep
-
R. Landaverde, T. Zhang, A. Coskun, and M. Herbordt, "An Investigation of Unified Memory Access Performance in CUDA, " in IEEE High Performance Extreme Computing Conference (HPEC), Sep 2014.
-
(2014)
IEEE High Performance Extreme Computing Conference (HPEC)
-
-
Landaverde, R.1
Zhang, T.2
Coskun, A.3
Herbordt, M.4
-
33
-
-
84898068452
-
25.2 A 1.2V 8Gb 8-channel 128GB/s High-bandwidth memory (HBM) Stacked DRAM with Effective Microbump I/O Test Methods Using 29nm Process and TSV
-
Feb
-
D. U. Lee, K. W. Kim, K. W. Kim, H. Kim, J. Y. Kim, Y. J. Park, J. H. Kim, D. S. Kim, H. B. Park, J. W. Shin, J. H. Cho, K. H. Kwon, M. J. Kim, J. Lee, K. W. Park, B. Chung, and S. Hong, "25.2 A 1.2V 8Gb 8-channel 128GB/s High-bandwidth Memory (HBM) Stacked DRAM with Effective Microbump I/O Test Methods Using 29nm Process and TSV, " in IEEE International Solid-State Circuits Conference (ISSCC), Feb 2014.
-
(2014)
IEEE International Solid-State Circuits Conference (ISSCC)
-
-
Lee, D.U.1
Kim, K.W.2
Kim, K.W.3
Kim, H.4
Kim, J.Y.5
Park, Y.J.6
Kim, J.H.7
Kim, D.S.8
Park, H.B.9
Shin, J.W.10
Cho, J.H.11
Kwon, K.H.12
Kim, M.J.13
Lee, J.14
Park, K.W.15
Chung, B.16
Hong, S.17
-
34
-
-
84934293438
-
Adaptive-latency dram: Optimizing dram timing for the common-case
-
D. Lee, Y. Kim, G. Pekhimenko, S. Khan, V. Seshadri, K. Chang, and O. Mutlu, "Adaptive-latency DRAM: Optimizing DRAM Timing for the Common-case, " in HPCA, 2015.
-
(2015)
HPCA
-
-
Lee, D.1
Kim, Y.2
Pekhimenko, G.3
Khan, S.4
Seshadri, V.5
Chang, K.6
Mutlu, O.7
-
35
-
-
84878608239
-
The McPAT framework for multicore and manycore architectures: Simultaneously modeling power, area, and timing
-
Apr
-
S. Li, J. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, "The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing, " ACM Transactions on Architecture and Code Optimization (TACO), vol. 10, no. 1, Apr 2013.
-
(2013)
ACM Transactions on Architecture and Code Optimization (TACO)
, vol.10
, Issue.1
-
-
Li, S.1
Ahn, J.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
36
-
-
84945924942
-
A processing in memory taxonomy and a case for studying fixed-function pim
-
Dec
-
G. Loh, N. Jayasena, M. Oskin, M. Nutter, D. Roberts, M. Meswani, D. Zhang, and M. Ignatowski, "A Processing in Memory Taxonomy and a Case for Studying Fixed-function PIM, " in Workshop on Near-Data Processing, Dec 2013.
-
(2013)
Workshop on Near-Data Processing
-
-
Loh, G.1
Jayasena, N.2
Oskin, M.3
Nutter, M.4
Roberts, D.5
Meswani, M.6
Zhang, D.7
Ignatowski, M.8
-
37
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
Jun
-
G. H. Loh, "3D-Stacked Memory Architectures for Multi-core Processors, " in ISCA, Jun 2008.
-
(2008)
ISCA
-
-
Loh, G.H.1
-
39
-
-
0033688597
-
Smart memories: A modular reconfigurable architecture
-
Jun
-
K. Mai, T. Paaske, N. Jayasena, R. Ho, W. J. Dally, and M. Horowitz, "Smart Memories: A Modular Reconfigurable Architecture, " in ISCA, Jun 2000.
-
(2000)
ISCA
-
-
Mai, K.1
Paaske, T.2
Jayasena, N.3
Ho, R.4
Dally, W.J.5
Horowitz, M.6
-
40
-
-
84864850882
-
Towards energy-proportional datacenter memory with mobile dram
-
K. T. Malladi, B. C. Lee, F. A. Nothaft, C. Kozyrakis, K. Periyathambi, and M. Horowitz, "Towards Energy-proportional Datacenter Memory with Mobile DRAM, " in ISCA, 2012.
-
(2012)
ISCA
-
-
Malladi, K.T.1
Lee, B.C.2
Nothaft, F.A.3
Kozyrakis, C.4
Periyathambi, K.5
Horowitz, M.6
-
41
-
-
17844392445
-
ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix
-
B. Mei, S. Vernalde, D. Verkest, H. D. Man, and R. Lauwereins, "ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix, " in Field Programmable Logic and Application, 13th International Conference, 2003.
-
(2003)
Field Programmable Logic and Application, 13th International Conference
-
-
Mei, B.1
Vernalde, S.2
Verkest, D.3
Man, H.D.4
Lauwereins, R.5
-
42
-
-
85009401911
-
-
Micron
-
Micron, "Micron Z80A 4Gb DDR4 x8 Package DQ S-parameters, " 2015. [Online]. Available: http://www.micron.com/media/documents/products/sim-model/dram/z80ax8dqsparam.zip
-
(2015)
Micron Z80A 4Gb DDR4 x8 Package DQ S-parameters
-
-
-
43
-
-
84905671003
-
Design technologies for a 1.2v 2.4gb/s/pin high capacity ddr4 sdram with tsvs
-
Jun
-
R. Oh, B. Lee, S.-W. Shin, W. Bae, H. Choi, I. Song, Y.-S. Lee, J.-H. Choi, C.-W. Kim, S.-J. Jang, and J. S. Choi, "Design Technologies for a 1.2V 2.4Gb/s/pin High Capacity DDR4 SDRAM with TSVs, " in IEEE Symposium on VLSI Circuits, Jun 2014.
-
(2014)
IEEE Symposium on VLSI Circuits
-
-
Oh, R.1
Lee, B.2
Shin, S.-W.3
Bae, W.4
Choi, H.5
Song, I.6
Lee, Y.-S.7
Choi, J.-H.8
Kim, C.-W.9
Jang, S.-J.10
Choi, J.S.11
-
44
-
-
0031594009
-
Active pages: A computation model for intelligent memory
-
Jun
-
M. Oskin, F. Chong, and T. Sherwood, "Active Pages: A Computation Model for Intelligent Memory, " in ISCA, Jun 1998.
-
(1998)
ISCA
-
-
Oskin, M.1
Chong, F.2
Sherwood, T.3
-
45
-
-
84881163269
-
Triggered instructions: A control paradigm for spatially-programmed architectures
-
Jun
-
A. Parashar, M. Pellauer, M. Adler, B. Ahsan, N. Crago, D. Lustig, V. Pavlov, A. Zhai, M. Gambhir, A. Jaleel, R. Allmon, R. Rayess, S. Maresh, and J. Emer, "Triggered Instructions: A Control Paradigm for Spatially-programmed Architectures, " in ISCA, Jun 2013.
-
(2013)
ISCA
-
-
Parashar, A.1
Pellauer, M.2
Adler, M.3
Ahsan, B.4
Crago, N.5
Lustig, D.6
Pavlov, V.7
Zhai, A.8
Gambhir, M.9
Jaleel, A.10
Allmon, R.11
Rayess, R.12
Maresh, S.13
Emer, J.14
-
46
-
-
0031383426
-
Intelligent RAM (IRAM): The industrial setting, applications, and architectures
-
Oct
-
D. Patterson, K. Asanovic, A. Brown, R. Fromm, J. Golbus, B. Gribstad, K. Keeton, C. Kozyrakis, D. Martin, S. Perissakis, R. Thomas, N. Treuhaft, and K. Yelick, "Intelligent RAM (IRAM): The Industrial Setting, Applications, and Architectures, " in IEEE International Conference on Computer Design (ICCD), Oct 1997.
-
(1997)
IEEE International Conference on Computer Design (ICCD)
-
-
Patterson, D.1
Asanovic, K.2
Brown, A.3
Fromm, R.4
Golbus, J.5
Gribstad, B.6
Keeton, K.7
Kozyrakis, C.8
Martin, D.9
Perissakis, S.10
Thomas, R.11
Treuhaft, N.12
Yelick, K.13
-
47
-
-
0031096193
-
A case for intelligent RAM
-
Mar
-
D. Patterson, T. Anderson, N. Cardwell, R. Fromm, K. Keeton, C. Kozyrakis, R. Thomas, and K. Yelick, "A Case for Intelligent RAM, " IEEE Micro, vol. 17, no. 2, Mar 1997.
-
(1997)
IEEE Micro
, vol.17
, Issue.2
-
-
Patterson, D.1
Anderson, T.2
Cardwell, N.3
Fromm, R.4
Keeton, K.5
Kozyrakis, C.6
Thomas, R.7
Yelick, K.8
-
48
-
-
84876588873
-
Hybrid memory cube
-
Aug
-
J. T. Pawlowski, "Hybrid Memory Cube, " in Hot Chips, Aug 2011.
-
(2011)
Hot Chips
-
-
Pawlowski, J.T.1
-
49
-
-
84921266818
-
Comparing implementations of near-data computing with in-memory mapreduce workloads
-
Jul
-
S. H. Pugsley, J. Jestes, R. Balasubramonian, V. Srinivasan, A. Buyuktosunoglu, A. Davis, and F. Li, "Comparing Implementations of Near-Data Computing with In-Memory MapReduce Workloads, " IEEE Micro, vol. 34, no. 4, Jul 2014.
-
(2014)
IEEE Micro
, vol.34
, Issue.4
-
-
Pugsley, S.H.1
Jestes, J.2
Balasubramonian, R.3
Srinivasan, V.4
Buyuktosunoglu, A.5
Davis, A.6
Li, F.7
-
50
-
-
84904469580
-
Ndc: Analyzing the impact of 3d-stacked memory+ logic devices on mapreduce workloads
-
S. H. Pugsley, J. Jestes, H. Zhang, R. Balasubramonian, V. Srinivasan, A. Buyuktosunoglu, A. Davis, and F. Li, "Ndc: Analyzing the impact of 3d-stacked memory+ logic devices on mapreduce workloads, " in ISPASS, 2014.
-
(2014)
ISPASS
-
-
Pugsley, S.H.1
Jestes, J.2
Zhang, H.3
Balasubramonian, R.4
Srinivasan, V.5
Buyuktosunoglu, A.6
Davis, A.7
Li, F.8
-
51
-
-
84880285819
-
Sonic millip3de: A massively parallel 3d-stacked accelerator for 3d ultrasound
-
Feb
-
R. Sampson, M. Yang, S. Wei, C. Chakrabarti, and T. F. Wenisch, "Sonic Millip3De: A Massively Parallel 3D-stacked Accelerator for 3D Ultrasound, " in HPCA, Feb 2013.
-
(2013)
HPCA
-
-
Sampson, R.1
Yang, M.2
Wei, S.3
Chakrabarti, C.4
Wenisch, T.F.5
-
53
-
-
77952162137
-
Opencl: A parallel programming standard for heterogeneous computing systems
-
May
-
J. E. Stone, D. Gohara, and G. Shi, "OpenCL: A Parallel Programming Standard for Heterogeneous Computing Systems, " IEEE Computing in Science & Engineering, vol. 12, no. 3, May 2010.
-
(2010)
IEEE Computing in Science & Engineering
, vol.12
, Issue.3
-
-
Stone, J.E.1
Gohara, D.2
Shi, G.3
-
54
-
-
84888866287
-
Parboil: A revised benchmark suite for scientific and commercial throughput computing
-
Mar
-
J. A. Stratton, C. Rodrigues, I.-J. Sung, N. Obeid, L.-W. Chang, N. Anssari, G. D. Liu, and W.-M. Hwu, "Parboil: A Revised Benchmark Suite for Scientific and Commercial Throughput Computing, " Center for Reliable and High-Performance Computing, Mar 2012.
-
(2012)
Center for Reliable and High-Performance Computing
-
-
Stratton, J.A.1
Rodrigues, C.2
Sung, I.-J.3
Obeid, N.4
Chang, L.-W.5
Anssari, N.6
Liu, G.D.7
Hwu, W.-M.8
-
55
-
-
70649096324
-
Sd-vbs: The San Diego vision benchmark suite
-
Oct
-
S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor, "SD-VBS: The San Diego Vision Benchmark Suite, " in IISWC, Oct 2009.
-
(2009)
IISWC
-
-
Venkata, S.K.1
Ahn, I.2
Jeon, D.3
Gupta, A.4
Louie, C.5
Garcia, S.6
Belongie, S.7
Taylor, M.B.8
-
57
-
-
79951712762
-
Remap: A reconfigurable heterogeneous multicore architecture
-
Dec
-
M. Watkins and D. Albonesi, "ReMAP: A Reconfigurable Heterogeneous Multicore Architecture, " in MICRO, Dec 2010.
-
(2010)
MICRO
-
-
Watkins, M.1
Albonesi, D.2
-
58
-
-
84940739941
-
A 28nm x86 APU optimized for power and area efficiency
-
Feb
-
K. Wilcox, D. Akeson, H. Fair, J. Farrell, D. Johnson, G. Krishnan, H. Mclntyre, E. McLellan, S. Naffziger, R. Schreiber, S. Sundaram, and J. White, "A 28nm x86 APU Optimized for Power and Area Efficiency, " in IEEE International Solid-State Circuits Conference (ISSCC), Feb 2015.
-
(2015)
IEEE International Solid-State Circuits Conference (ISSCC)
-
-
Wilcox, K.1
Akeson, D.2
Fair, H.3
Farrell, J.4
Johnson, D.5
Krishnan, G.6
McLntyre, H.7
McLellan, E.8
Naffziger, S.9
Schreiber, R.10
Sundaram, S.11
White, J.12
-
59
-
-
0029179077
-
The splash-2 programs: Characterization and methodological considerations
-
Jun
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, "The SPLASH-2 Programs: Characterization and Methodological Considerations, " in ISCA, Jun 1995.
-
(1995)
ISCA
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
60
-
-
84864829982
-
Boom: Enabling mobile memory based low-power server dimms
-
Jun
-
D. H. Yoon, J. Chang, N. Muralimanohar, and P. Ranganathan, "BOOM: Enabling Mobile Memory Based Low-Power Server DIMMs, " in ISCA, Jun 2012.
-
(2012)
ISCA
-
-
Yoon, D.H.1
Chang, J.2
Muralimanohar, N.3
Ranganathan, P.4
-
61
-
-
77952257218
-
Virtualized and flexible ecc for main memory
-
Mar
-
D. H. Yoon and M. Erez, "Virtualized and Flexible ECC for Main Memory, " in ASPLOS, Mar 2010.
-
(2010)
ASPLOS
-
-
Yoon, D.H.1
Erez, M.2
-
62
-
-
80052542940
-
Adaptive granularity memory systems: A tradeoff between storage efficiency and throughput
-
Jun
-
D. H. Yoon, M. K. Jeong, and M. Erez, "Adaptive Granularity Memory Systems: A Tradeoff between Storage Efficiency and Throughput, " in ISCA, Jun 2011.
-
(2011)
ISCA
-
-
Yoon, D.H.1
Jeong, M.K.2
Erez, M.3
-
63
-
-
84904424285
-
TOP-PIM: Throughput-oriented programmable processing in memory
-
Jun
-
D. Zhang, N. Jayasena, A. Lyashevsky, J. L. Greathouse, L. Xu, and M. Ignatowski, "TOP-PIM: Throughput-oriented Programmable Processing in Memory, " in ACM International Symposium on Highperformance Parallel and Distributed Computing (HPDC), Jun 2014.
-
(2014)
ACM International Symposium on Highperformance Parallel and Distributed Computing (HPDC)
-
-
Zhang, D.1
Jayasena, N.2
Lyashevsky, A.3
Greathouse, J.L.4
Xu, L.5
Ignatowski, M.6
-
64
-
-
66749162556
-
Mini-rank: Adaptive dram architecture for improving memory power efficiency
-
Nov
-
H. Zheng, J. Lin, Z. Zhang, E. Gorbatov, H. David, and Z. Zhu, "Mini-Rank: Adaptive DRAM Architecture for Improving Memory Power Efficiency, " in MICRO, Nov 2008.
-
(2008)
MICRO
-
-
Zheng, H.1
Lin, J.2
Zhang, Z.3
Gorbatov, E.4
David, H.5
Zhu, Z.6
-
65
-
-
84893898462
-
A 3d-stacked logic-in-memory accelerator for application-specific data intensive computing
-
Oct
-
Q. Zhu, B. Akin, H. E. Sumbul, F. Sadi, J. C. Hoe, L. Pileggi, and F. Franchetti, "A 3D-stacked Logic-in-memory Accelerator for Application-Specific Data Intensive Computing, " in IEEE International 3D Systems Integration Conference (3DIC), Oct 2013.
-
(2013)
IEEE International 3D Systems Integration Conference (3DIC
-
-
Zhu, Q.1
Akin, B.2
Sumbul, H.E.3
Sadi, F.4
Hoe, J.C.5
Pileggi, L.6
Franchetti, F.7
|