-
1
-
-
0033878414
-
A low-jitter 1. 9-v cmos pll for ultrasparc microprocessor applications
-
Ahn, H.-T. and Allstot, D. 2000. A low-jitter 1.9-v cmos pll for ultrasparc microprocessor applications. J. Solid State Circ. 35, 3, 450-454.
-
(2000)
J. Solid State Circ.
, vol.35
, Issue.3
, pp. 450-454
-
-
Ahn, H.-T.1
Allstot, D.2
-
3
-
-
0036049095
-
Dynamic and leakage power reduction in mtcmos circuits using an automated efficient gate clustering technique
-
Anis, M., Areibi, S., Mahmoud, M., and Elmasry, M. 2002. Dynamic and leakage power reduction in mtcmos circuits using an automated efficient gate clustering technique. In Proceedings of the 39th Design Automation Conference (DAC). 480-485.
-
(2002)
Proceedings of the 39th Design Automation Conference (DAC)
, pp. 480-485
-
-
Anis, M.1
Areibi, S.2
Mahmoud, M.3
Elmasry, M.4
-
4
-
-
84878566864
-
-
ARM
-
ARM. 2013. http://www.arm.com/products/processors/cortex-a/cortex-a9.php.
-
(2013)
-
-
-
5
-
-
56849086608
-
45nm high-k+metal gate strain-ehanced transistors
-
Auth, C., Buehler, M., Cappellani, A., Hing Choi, C., Ding, G., Han, W., Joshi, S., Mcintyre, B., Prince, M., Ranade, P., Sandford, J., and Thomas, C. 2008. 45nm high-k+metal gate strain-ehanced transistors. Intel Technol. J. 12.
-
(2008)
Intel Technol. J.
, vol.12
-
-
Auth, C.1
Buehler, M.2
Cappellani, A.3
Hing Choi, C.4
Ding, G.5
Han, W.6
Joshi, S.7
McIntyre, B.8
Prince, M.9
Ranade, P.10
Sandford, J.11
Thomas, C.12
-
6
-
-
63549095070
-
The parsec benchmark suite: Characterization and architectural implications
-
Bienia, C., Kumar, S., Singh, J. P., and Li, K. 2008. The parsec benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT).
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT)
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
7
-
-
84859464490
-
The gem5 simulator
-
Binkert, N., Beckmann, B., Black, G., Reinhardt, S. K., Saidi, A., Basu, A., Hestness, J., Hower, D. R., Krishna, T., Sardashti, S., Sen, R., Sewell, K., Shoaib, M., Vaish, N., Hill, M. D., and Wood, D. A. 2011. The gem5 simulator. SIGARCH Comput. Archit. News 39, 2.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, pp. 2
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
8
-
-
33846535493
-
The m5 simulator: Modeling networked systems
-
Binkert, N. L., Dreslinski, R. G., Hsu, L. R., Lim, K. T., Saidi, A. G., and Reinhardt, S. K. 2006. The m5 simulator: Modeling networked systems. IEEE Micro 26, 4, 52-60.
-
(2006)
IEEE Micro 26, 4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
10
-
-
0041633858
-
Parameter variations and impact on circuits and microarchitecture
-
Borkar, S., Karnik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the Design Automation Conference (DAC).
-
(2003)
Proceedings of the Design Automation Conference (DAC)
-
-
Borkar, S.1
Karnik, T.2
Narendra, S.3
Tschanz, J.4
Keshavarzi, A.5
De, V.6
-
13
-
-
0346898058
-
New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors
-
Brooks, D., Bose, P., Srinivasan, V., Gschwind, M., Emma, P., and Rosenfield, M. 2003. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM J. Res. Devel. 47.
-
(2003)
IBM J. Res. Devel.
, vol.47
-
-
Brooks, D.1
Bose, P.2
Srinivasan, V.3
Gschwind, M.4
Emma, P.5
Rosenfield, M.6
-
16
-
-
84878566207
-
-
Cadence Incyte Chip Estimator
-
Cadence Incyte Chip Estimator. 2013. http://www.chipestimate.com/.
-
(2013)
-
-
-
18
-
-
34748823693
-
The transient response of damped linear netwoks with particular regard to wideband amplifiers
-
Elmore, W. C. 1948. The transient response of damped linear netwoks with particular regard to wideband amplifiers. J. Appl. Phys. 19, 55-63.
-
(1948)
J. Appl. Phys.
, vol.19
, pp. 55-63
-
-
Elmore, W.C.1
-
19
-
-
79955887509
-
Cuckoo directory: A scalable directory for many-core systems
-
Ferdman, M., Lotfi-Kamran, P., Balet, K., and Falsafi, B. 2011. Cuckoo directory: A scalable directory for many-core systems. In Proceedings of the IEEE 17th International Symposium on High Performance Computer Architecture (HPCA). 169-180.
-
(2011)
Proceedings of the IEEE 17th International Symposium on High Performance Computer Architecture (HPCA)
, pp. 169-180
-
-
Ferdman, M.1
Lotfi-Kamran, P.2
Balet, K.3
Falsafi, B.4
-
20
-
-
77952185910
-
A 12. 3mW 12.5Gb/s Complete Transceiver in 65nm Cmos
-
Fukuda, K., Yamashita, H., Ono, G., Nemoto, R., Suzuki, E., Takemoto, T., Yuki, F., and Saito, T. 2010. A 12.3mW 12.5Gb/s complete transceiver in 65nm cmos. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'10). 368-369.
-
(2010)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'10)
, pp. 368-369
-
-
Fukuda, K.1
Yamashita, H.2
Ono, G.3
Nemoto, R.4
Suzuki, E.5
Takemoto, T.6
Yuki, F.7
Saito, T.8
-
21
-
-
51349166333
-
Penryn: 45-nm next generation intel core 2 processor
-
George, V., Jahagirdar, S., Tong, C., Smits, K., Damaraju, S., Siers, S., Naydenov, V., Khond-Ker, T., Sarkar, S., and Singh, P. 2007. Penryn: 45-nm next generation intel core 2 processor. In Proceedings of the IEEE Asian Solid-State Circuits Conference (ASSCC'07).
-
(2007)
Proceedings of the IEEE Asian Solid-State Circuits Conference (ASSCC'07)
-
-
George, V.1
Jahagirdar, S.2
Tong, C.3
Smits, K.4
Damaraju, S.5
Siers, S.6
Naydenov, V.7
Khond-Ker, T.8
Sarkar, S.9
Singh, P.10
-
24
-
-
0003815341
-
Managing the impact of increasing microprocessor power consumption
-
Gunther, S. H., Binns, F., Carmean, M., and Hall, J. C. 2001. Managing the impact of increasing microprocessor power consumption. Intel Technol. J. 1.
-
(2001)
Intel Technol. J.
, vol.1
-
-
Gunther, S.H.1
Binns, F.2
Carmean, M.3
Hall, J.C.4
-
25
-
-
0006704808
-
-
Tech. rep., Department of Computer Science, University of Texas at Austin
-
Gupta, S., Keckler, S., and Burger, D. 2000. Technology independent area and delay estimates for microprocessor building blocks. Tech. rep., Department of Computer Science, University of Texas at Austin.
-
(2000)
Technology Independent Area and Delay Estimates for Microprocessor Building Blocks
-
-
Gupta, S.1
Keckler, S.2
Burger, D.3
-
26
-
-
34548835238
-
A 12 5Gb/s SerDes in 65nm cmos using a baud-rate adc with digital receiver equalization and clock recovery
-
Harwood, M., Warke, N., Simpson, R., Leslie, T., Amerasekera, A., Batty, S., Colman, D., Carr, E., Gopinathan, V., Hubbins, S., et al. 2007. A 12.5Gb/s SerDes in 65nm cmos using a baud-rate adc with digital receiver equalization and clock recovery. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'07). 436-591.
-
(2007)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'07)
, pp. 436-591
-
-
Harwood, M.1
Warke, N.2
Simpson, R.3
Leslie, T.4
Amerasekera, A.5
Batty, S.6
Colman, D.7
Carr, E.8
Gopinathan, V.9
Hubbins, S.10
-
28
-
-
52249084428
-
Performance counters and development of spec cpu2006
-
Henning, J. L. 2007. Performance counters and development of spec cpu2006. Comput. Archit. News 35, 1.
-
(2007)
Comput. Archit. News
, vol.35
, Issue.1
-
-
Henning, J.L.1
-
29
-
-
0003278283
-
The microarchitecture of the pentium 4 processor
-
Hinton, G., Sager, D., Upton, M., Boggs, D., Greup, D. P., and Corp, I. 2001. The microarchitecture of the pentium 4 processor. Intel Technol. J. 1.
-
(2001)
Intel Technol. J.
, vol.1
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Greup, D.P.5
Corp, I.6
-
34
-
-
33746400169
-
Hotspot: A compact thermal modeling method for cmos vlsi systems
-
Huang, W., Member, S., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., Stan, M. R., Member, S., and Member, S. 2006. Hotspot: A compact thermal modeling method for cmos vlsi systems. IEEE Trans. VLSI 14, 501-513.
-
(2006)
IEEE Trans. VLSI
, vol.14
, pp. 501-513
-
-
Huang, W.1
Member, S.2
Ghosh, S.3
Velusamy, S.4
Sankaranarayanan, K.5
Skadron, K.6
Stan, M.R.7
Member, S.8
Member, S.9
-
35
-
-
84878620070
-
-
Intel. 2009. An introduction to the intel quickpath interconnect
-
Intel. 1998. P6 family of processors hardware developer's manual. Intel white paper. Intel. 2009. An introduction to the intel quickpath interconnect. http://www.intel.com/content/dam/doc/white-paper/quick-path-interconnect- introduction-paper.pdf.
-
(1998)
P6 Family of Processors Hardware Developer's Manual Intel. Intel White Paper
-
-
-
36
-
-
84878597399
-
-
Intel
-
Intel. 2013. http://www.intel.com/products/processor/atom/techdocs.htms.
-
(2013)
-
-
-
37
-
-
0035063030
-
A 1 2 ghz alpha microprocessor with 44.8 GB/s chip pin bandwidth
-
Jain, A., Anderson,W., Benninghoff, T., Bertucci, D., Braganza, M., Burnette, J., Chang, T., Eble, J., Faber, R., Gowda, D., Grodstein, J., Hess, G., Kowaleski, J., Kumar, A., Miller, B., Mueller, R., Paul, P., Pickholtz, J., Russell, S., Shen, M., Truex, T., Vardharajan, A., Xanthopoulos, D., and Zou, T. 2001. A 1.2 ghz alpha microprocessor with 44.8 GB/s chip pin bandwidth. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC).
-
(2001)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC)
-
-
Jain, A.1
Anderson, W.2
Benninghoff, T.3
Bertucci, D.4
Braganza, M.5
Burnette, J.6
Chang, T.7
Eble, J.8
Faber, R.9
Gowda, D.10
Grodstein, J.11
Hess, G.12
Kowaleski, J.13
Kumar, A.14
Miller, B.15
Mueller, R.16
Paul, P.17
Pickholtz, J.18
Russell, S.19
Shen, M.20
Truex, T.21
Vardharajan, A.22
Xanthopoulos, D.23
Zou, T.24
more..
-
39
-
-
70350060187
-
ORION 2 0: A fast and accurate noc power and area model for early-stage design space exploration
-
Kahng, A., Li, B., Peh, L.-S., and Samadi, K. 2009. ORION 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE).
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE)
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
41
-
-
0032639289
-
The alpha 21264 microprocessor
-
Kessler, R. E. 1999. The alpha 21264 microprocessor. IEEE Micro 19, 2.
-
(1999)
IEEE Micro
, vol.19
, Issue.2
-
-
Kessler, R.E.1
-
42
-
-
20344374162
-
Niagara: A 32-way multithreaded sparc processor
-
Kongetira, P., Aingaran, K., and Olukotun, K. 2005. Niagara: A 32-way multithreaded sparc processor. IEEE Micro 25, 2.
-
(2005)
IEEE Micro
, vol.25
, Issue.2
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
43
-
-
0038633602
-
Hyperthreading technology in the netburst microarchitecture
-
Koufaty, D. and Marr, D. T. 2003. Hyperthreading technology in the netburst microarchitecture. IEEE Micro 23, 2.
-
(2003)
IEEE Micro
, vol.23
, Issue.2
-
-
Koufaty, D.1
Marr, D.T.2
-
46
-
-
27544456315
-
Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling
-
Kumar, R., Zyuban, V., and Tullsen, D. M. 2005. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA).
-
(2005)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
-
-
Kumar, R.1
Zyuban, V.2
Tullsen, D.M.3
-
47
-
-
33846227016
-
A power-efficient high-throughput 32-thread sparc processor
-
Leon, A. S., Tam, K.W., Shin, J. L.,Weisner, D., and Schumacher, F. 2007. A power-efficient high-throughput 32-thread sparc processor. J. Solid State Circ. 42.
-
(2007)
J. Solid State Circ.
, vol.42
-
-
Leon, A.S.1
Tam, K.W.2
Shin, J.L.3
Weisner, D.4
Schumacher, F.5
-
48
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
Li, S., Ahn, J. H., Strong, R. D., Brockman, J. B., Tullsen, D. M., and Jouppi, N. P. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 469-480.
-
(2009)
Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
49
-
-
84862932472
-
CACTI-P: Architecture-Level modeling for sram-based structures with advanced leakage reduction techniques
-
Li, S., Chen, K., Ahn, J. H., Brockman, J. B., and Jouppi, N. P. 2011. CACTI-P: Architecture-Level modeling for sram-based structures with advanced leakage reduction techniques. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD).
-
(2011)
Proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD)
-
-
Li, S.1
Chen, K.2
Ahn, J.H.3
Brockman, J.B.4
Jouppi, N.P.5
-
50
-
-
4544372894
-
Distributed sleep transistor network for power reduction
-
Long, C. and He, L. 2004. Distributed sleep transistor network for power reduction. IEEE Trans. Very Large Scale Integr. Syst. 12, 937-946.
-
(2004)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.12
, pp. 937-946
-
-
Long, C.1
He, L.2
-
51
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
Luk, C.-K., Cohn, R., Muth, R., Patil, H., Klauser, A., Lowney, G.,Wallace, S., Reddi, V. J., and Hazelwood, K. 2005. Pin: Building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI).
-
(2005)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI)
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
52
-
-
28144460650
-
Clock distribution on a dual-core multi-threaded itanium family processor
-
Mahoney, P., Fetzer, E., Doyle, B., and Naffziger, S. 2005. Clock distribution on a dual-core multi-threaded itanium family processor. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC).
-
(2005)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC)
-
-
Mahoney, P.1
Fetzer, E.2
Doyle, B.3
Naffziger, S.4
-
53
-
-
33748870886
-
Multifacet's general execution-driven multiprocessor simulator (gems) toolset
-
Martin, M. M. K., Sorin, D. J., Beckmann, B. M., Marty, M. R., Xu, M., Alameldeen, A. R., Moore, K. E., Hill, M. D., and Wood, D. A. 2005. Multifacet's general execution-driven multiprocessor simulator (gems) toolset. SIGARCH Comput. Archit. News 33, 4.
-
(2005)
SIGARCH Comput. Archit. News
, vol.33
, Issue.4
-
-
Martin, M.M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
54
-
-
35348900723
-
Virtual hierarchies to support server consolidation
-
Marty, M. R. and Hill, M. D. 2007. Virtual hierarchies to support server consolidation. SIGARCH Comput. Archit. News 35, 2, 46-56.
-
(2007)
SIGARCH Comput. Archit. News
, vol.35
, Issue.2
, pp. 46-56
-
-
Marty, M.R.1
Hill, M.D.2
-
55
-
-
11944260934
-
A 4-GHz 300-mW 64-bit integer execution alu with dual supply voltages in 90-nm cmos
-
Mathew, S., Anders, M., Bloechel, B., Nguyen, T., Krishnamurthy, R., and Borkar, S. 2005. A 4-GHz 300-mW 64-bit integer execution alu with dual supply voltages in 90-nm cmos. J. Solid State Circ. 40, 1.
-
(2005)
J. Solid State Circ.
, vol.40
, Issue.1
-
-
Mathew, S.1
Anders, M.2
Bloechel, B.3
Nguyen, T.4
Krishnamurthy, R.5
Borkar, S.6
-
56
-
-
84878528119
-
-
Miller, J. E., Kasture, H., Kurian, G., Iii, C. G., Beckmann, N., Celio, C., Eastep, J., and Agarwal, A. 2010.
-
(2010)
-
-
Miller, J.E.1
Kasture, H.2
Kurian, G.3
Iii, C.G.4
Beckmann, N.5
Celio, C.6
Eastep, J.7
Agarwal, A.8
-
58
-
-
84878614096
-
-
Naveh, A., Rotem, E., Mendelson, A., Gochman, S., Chabukswar, R., Krishnan, K., and Kumar, A. 2006.
-
(2006)
-
-
Naveh, A.1
Rotem, E.2
Mendelson, A.3
Gochman, S.4
Chabukswar, R.5
Krishnan, K.6
Kumar, A.7
-
59
-
-
34548119036
-
Power and thermal management in the intel core duo processor
-
Power and thermal management in the intel core duo processor. Intel Technol. J. 10, 109-122.
-
Intel Technol. J.
, vol.10
, pp. 109-122
-
-
-
60
-
-
76749136117
-
Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip
-
Nawathe, U., Hassan, M., Yen, K., Kumar, A., Ramachandran, A., and Greenhill, D. 2008. Implementation of an 8-core, 64-thread, power-efficient sparc server on a chip. J. Solid State Circ. 43, 1.
-
(2008)
J. Solid State Circ.
, vol.43
, Issue.1
-
-
Nawathe, U.1
Hassan, M.2
Yen, K.3
Kumar, A.4
Ramachandran, A.5
Greenhill, D.6
-
61
-
-
0034259409
-
Analysis and future trend of short-circuit power
-
Nose, K. and Sakurai, T. 2000. Analysis and future trend of short-circuit power. IEEE Trans. Comput.-Aided Des. 19, 9.
-
(2000)
IEEE Trans. Comput.-Aided Des.
, vol.19
, Issue.9
-
-
Nose, K.1
Sakurai, T.2
-
63
-
-
34548848278
-
A 14mW 6 25Gb/s transceiver in 90nm cmos for serial chip-to-chip communications
-
Palmer, R., Poulton, J., Dally, W., Eyles, J., Fuller, A., Greer, T., Horowitz, M., Kellam, M., Quan, F., and Zarkeshvari, F. 2007. A 14mW 6.25Gb/s transceiver in 90nm cmos for serial chip-to-chip communications. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'07). 440-614.
-
(2007)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC'07)
, pp. 440-614
-
-
Palmer, R.1
Poulton, J.2
Dally, W.3
Eyles, J.4
Fuller, A.5
Greer, T.6
Horowitz, M.7
Kellam, M.8
Quan, F.9
Zarkeshvari, F.10
-
64
-
-
62749197537
-
Controlling program execution through binary instrumentation
-
Pan, H., Asanovi-c, K., Cohn, R., and Luk, C.-K. 2005. Controlling program execution through binary instrumentation. Comput. Archit. News 33, 5.
-
(2005)
Comput. Archit. News
, vol.33
, Issue.5
-
-
Pan, H.1
Asanovic, K.2
Cohn, R.3
Luk, C.-K.4
-
65
-
-
0003850954
-
-
Prentice-Hall, Englewood Cliffs, NJ
-
Rabaey, J., Chandrakasan, A., and Nikolic, B. 2003. Digital Integrated Circuits: A Design Perspective 2nd Ed. Prentice-Hall, Englewood Cliffs, NJ.
-
(2003)
Digital Integrated Circuits: A Design Perspective 2nd Ed
-
-
Rabaey, J.1
Chandrakasan, A.2
Nikolic, B.3
-
66
-
-
67650079956
-
Achieving 10 Gb/s using safe and transparent network interface virtualization
-
Ram, K. K., Santos, J. R., Turner, Y., Cox, A. L., and Rixner, S. 2009. Achieving 10 Gb/s using safe and transparent network interface virtualization. In Proceedings of the ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE). 61-70.
-
(2009)
Proceedings of the ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments (VEE)
, pp. 61-70
-
-
Ram, K.K.1
Santos, J.R.2
Turner, Y.3
Cox, A.L.4
Rixner, S.5
-
68
-
-
80053001343
-
The structural simulation toolkit
-
Rodrigues, A. F., Hemmert, K. S., Barrett, B. W., Kersey, C., Oldfield, R., Weston, M., Risen, R., Cook, J., Rosenfeld, P., Cooperballs, E., and Jacob, B. 2011. The structural simulation toolkit. SIGMETRICS Perform. Eval. Rev. 38, 4.
-
(2011)
SIGMETRICS Perform. Eval. Rev.
, vol.38
, Issue.4
-
-
Rodrigues, A.F.1
Hemmert, K.S.2
Barrett, B.W.3
Kersey, C.4
Oldfield, R.5
Weston, M.6
Risen, R.7
Cook, J.8
Rosenfeld, P.9
Cooperballs, E.10
Jacob, B.11
-
69
-
-
33748521353
-
A dual-core multi-threaded xeon processor with 16mb l3 cache
-
Rusu, S., Tam, S., Muljono, H., Ayers, D., and Chang, J. 2006. A dual-core multi-threaded xeon processor with 16mb l3 cache. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC).
-
(2006)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC)
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Ayers, D.4
Chang, J.5
-
71
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
Sherwood, T., Perelman, E., Hamerly, G., and Calder, B. 2002. Automatically characterizing large scale program behavior. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS).
-
(2002)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
72
-
-
77952200539
-
A 40nm 16-core 128-thread cmt sparc soc processor
-
Shin, J., Tam, K., Huang, D., Petrick, B., Pham, H., Hwang, C., Li, H., Smith, A., Johnson, T., Schumacher, F., Greenhill, D., Leon, A., and Strong, A. 2010. A 40nm 16-core 128-thread cmt sparc soc processor. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC). 98-99.
-
(2010)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC)
, pp. 98-99
-
-
Shin, J.1
Tam, K.2
Huang, D.3
Petrick, B.4
Pham, H.5
Hwang, C.6
Li, H.7
Smith, A.8
Johnson, T.9
Schumacher, F.10
Greenhill, D.11
Leon, A.12
Strong, A.13
-
73
-
-
0034273716
-
The design space of register renaming techniques
-
Sima, D. 2000. The design space of register renaming techniques. IEEE Micro 20, 5, 70-83.
-
(2000)
IEEE Micro
, vol.20
, Issue.5
, pp. 70-83
-
-
Sima, D.1
-
74
-
-
84878579104
-
-
Sun Microsystems
-
Sun Microsystems. 2013. OpenSPARC. http://www.opensparc.net.
-
(2013)
OpenSPARC
-
-
-
75
-
-
52649139073
-
A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies
-
Thoziyoor, S., Ahn, J., Monchiero, M., Brockman, J., and Jouppi, N. 2008. A comprehensive memory modeling tool and its application to the design and analysis of future memory hierarchies. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA).
-
(2008)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
-
-
Thoziyoor, S.1
Ahn, J.2
Monchiero, M.3
Brockman, J.4
Jouppi, N.5
-
76
-
-
0029666641
-
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
-
Tullsen, D. M., Eggers, S. J., Emer, J. S., Levy, H. M., Lo, J. L., and Stamm, R. L. 1996. Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA).
-
(1996)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
-
-
Tullsen, D.M.1
Eggers, S.J.2
Emer, J.S.3
Levy, H.M.4
Lo, J.L.5
Stamm, R.L.6
-
77
-
-
47249146006
-
Multi2Sim: A simulation framework to evaluate multicore-multithreaded processors
-
Ubal, R., Sahuquillo, J., Petit, S., and Lpez, P. 2007. Multi2Sim: A simulation framework to evaluate multicore-multithreaded processors. In Proceedings of the International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD). 62-68.
-
(2007)
Proceedings of the International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD)
, pp. 62-68
-
-
Ubal, R.1
Sahuquillo, J.2
Petit, S.3
Lpez, P.4
-
79
-
-
0033700756
-
Energy-Driven integrated hardware-software optimizations using simplepower
-
Vijaykrishnan, N., Kandemir, M., Irwin, M. J., Kim, H. S., and Ye, W. 2000. Energy-Driven integrated hardware-software optimizations using simplepower. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA).
-
(2000)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
-
-
Vijaykrishnan, N.1
Kandemir, M.2
Irwin, M.J.3
Kim, H.S.4
Ye, W.5
-
80
-
-
73249132942
-
A 4 0 ghz 291 mb voltage-scalable sram design in a 32 nm high-k + metal-gate cmos technology with integrated power management
-
Wang, Y., Bhattacharya, U., Hamzaoglu, F., Kolar, P., Ng, Y.-G., Wei, L., Zhang, Y., Zhang, K., and Bohr, M. 2010. A 4.0 ghz 291 mb voltage-scalable sram design in a 32 nm high-k + metal-gate cmos technology with integrated power management. IEEE J. Solid-State Circ. 45, 103-110.
-
(2010)
IEEE J. Solid-State Circ.
, vol.45
, pp. 103-110
-
-
Wang, Y.1
Bhattacharya, U.2
Hamzaoglu, F.3
Kolar, P.4
Ng, Y.-G.5
Wei, L.6
Zhang, Y.7
Zhang, K.8
Bohr, M.9
-
82
-
-
0029179077
-
The splash-2 programs: Characterization and methodological considerations
-
Woo, S. C., Ohara, M., Torrie, E., Singh, J. P., and Gupta, A. 1995. The splash-2 programs: Characterization and methodological considerations. In Proceedings of the Annual International Symposium on Computer Architecture (ISCA).
-
(1995)
Proceedings of the Annual International Symposium on Computer Architecture (ISCA)
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
83
-
-
4444311818
-
Accurate pre-layout estimation of standard cell characteristics
-
ACM Press, NewYork
-
Yoshida, H., De, K., and Boppana, V. 2004. Accurate pre-layout estimation of standard cell characteristics. In Proceedings of the Annual Conference on Design Automation (DAC). ACM Press, NewYork, 208-211.
-
(2004)
Proceedings of the Annual Conference on Design Automation (DAC)
, pp. 208-211
-
-
Yoshida, H.1
De, K.2
Boppana, V.3
-
84
-
-
79955708930
-
A fully integrated multi-cpu, gpu and memory controller 32nm processor
-
Yuffe, M., Knoll, E., Mehalel, M., Shor, J., and Kurts, T. 2011. A fully integrated multi-cpu, gpu and memory controller 32nm processor. In Proceedings of the IEEE International Solid State Circuits Conference (ISSCC). 264-266.
-
(2011)
Proceedings of the IEEE International Solid State Circuits Conference (ISSCC)
, pp. 264-266
-
-
Yuffe, M.1
Knoll, E.2
Mehalel, M.3
Shor, J.4
Kurts, T.5
|