-
1
-
-
33746626966
-
Design space exploration for 3-Darchitectures
-
Y. Xie, G. H. Loh, B. Black, and K. Bernstein, "Design space exploration for 3-Darchitectures, " ACM J. Emerging Technol. Comput. Syst. , vol. 2, no. 2, pp. 65-103, 2006.
-
(2006)
ACM J. Emerging Technol. Comput. Syst.
, vol.2
, Issue.2
, pp. 65-103
-
-
Xie, Y.1
Loh, G.H.2
Black, B.3
Bernstein, K.4
-
2
-
-
34547322811
-
Interconnects in the third dimension: Design challenges for 3D ICs
-
DOI 10.1109/DAC.2007.375227, 4261246, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
K. Bernstein et al. , "Interconnects in the third dimension: Design challenges for3D-ICs, " in Proc. IEEE/ACM Design Automat. Conf. , Jun. 2007, pp. 562-567. (Pubitemid 47130028)
-
(2007)
Proceedings - Design Automation Conference
, pp. 562-567
-
-
Bernstein, K.1
Andry, P.2
Cann, J.3
Emma, P.4
Greenberg, D.5
Haensch, W.6
Ignatowski, M.7
Koester, S.8
Magerlein, J.9
Puri, R.10
Young, A.11
-
3
-
-
40349090128
-
Die stacking (3-D) microarchitecture
-
Dec.
-
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb, "Die stacking (3-D) microarchitecture, " in Proc. IEEE/ACMInt. Symp. Microarchit. , Dec. 2006, pp. 469-479.
-
(2006)
Proc. IEEE/ACMInt. Symp. Microarchit.
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
Devale, J.4
Jiang, L.5
Loh, G.H.6
McCaule, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
Shen, J.14
Webb, C.15
-
4
-
-
84855683107
-
Resistiveprogrammable through silicon vias for reconfigurable 3-D fabrics
-
Jan.
-
D. Sacchetto, M. Zervas, Y. Temiz, G. D. Micheli, and Y. Leblebici, "Resistiveprogrammable through silicon vias for reconfigurable 3-D fabrics, " IEEE Trans. Nanotechnol. , vol. 11, no. 1, pp. 8-11, Jan. 2012.
-
(2012)
IEEE Trans. Nanotechnol.
, vol.11
, Issue.1
, pp. 8-11
-
-
Sacchetto, D.1
Zervas, M.2
Temiz, Y.3
Micheli, G.D.4
Leblebici, Y.5
-
5
-
-
79961099585
-
Attaining single-chip, highperformancecomputing through 3-D systems with active cooling
-
Jul.-Aug.
-
A. K. Coskun, J. Meng, D. Atienza, and M. M. Sabry, "Attaining single-chip, highperformancecomputing through 3-D systems with active cooling, " IEEE Micro, vol. 31, no. 4, pp. 63-75, Jul.-Aug. 2011.
-
(2011)
IEEE Micro
, vol.31
, Issue.4
, pp. 63-75
-
-
Coskun, A.K.1
Meng, J.2
Atienza, D.3
Sabry, M.M.4
-
6
-
-
82155192296
-
Energy-efficient multiobjective thermal control for liquidcooled3-D stacked architectures
-
Dec.
-
M. M. Sabry et al. , "Energy-efficient multiobjective thermal control for liquidcooled3-D stacked architectures, " IEEE Trans. Comput.-Aided Design, vol. 30, no. 12, pp. 1883-1896, Dec. 2011.
-
(2011)
IEEE Trans. Comput.-Aided Design
, vol.30
, Issue.12
, pp. 1883-1896
-
-
Sabry, M.M.1
-
7
-
-
54049110736
-
Interlayer cooling potential in vertically integrated packages
-
T. Brunschwiler et al. , "Interlayer cooling potential in vertically integrated packages, "Microsyst. Technol. , vol. 15, no. 1, pp. 57-74, 2009.
-
(2009)
Microsyst. Technol.
, vol.15
, Issue.1
, pp. 57-74
-
-
Brunschwiler, T.1
-
8
-
-
79952129852
-
3-D integrated water cooling of a composite multilayer stack ofchips
-
F. Alfieri et al. , "3-D integrated water cooling of a composite multilayer stack ofchips, " J. Heat Transfer, vol. 132, no. 12, pp. 121402-1-121402-9, 2010.
-
(2010)
J. Heat Transfer
, vol.132
, Issue.12
, pp. 1214021-1214029
-
-
Alfieri, F.1
-
9
-
-
77749336526
-
Integrated microfluidic cooling and interconnects for 2-D and 3-Dchips
-
Feb.
-
B. Dang et al. , "Integrated microfluidic cooling and interconnects for 2-D and 3-Dchips, " IEEE Trans. Adv. Packag. , vol. 33, no. 1, pp. 79-87, Feb. 2010.
-
(2010)
IEEE Trans. Adv. Packag.
, vol.33
, Issue.1
, pp. 79-87
-
-
Dang, B.1
-
10
-
-
84875144842
-
-
JEDEC: jep122E Failure Mechanisms and Models for SemiconductorDevices (Oct. ) [Online]. Available
-
JEDEC: jep122E Failure Mechanisms and Models for SemiconductorDevices (2011, Oct. ) [Online]. Available: http://www.jedec. org/standardsdocuments/ docs/jep-122e
-
(2011)
-
-
-
11
-
-
70349754155
-
Utilizing predictors for efficientthermal management in multiprocessor SoCs
-
Oct.
-
A. K. Coskun, T. S. Rosing, and K. C. Gross, "Utilizing predictors for efficientthermal management in multiprocessor SoCs, " IEEE Trans. Comput.-Aided Design, vol. 28, no. 10, pp. 1503-1516, Oct. 2009.
-
(2009)
IEEE Trans. Comput.-Aided Design
, vol.28
, Issue.10
, pp. 1503-1516
-
-
Coskun, A.K.1
Rosing, T.S.2
Gross, K.C.3
-
12
-
-
84862091072
-
Thermal balancing of liquid-cooled3-D MPSoCs using channel modulation
-
M. M. Sabry, A. Sridhar, and D. Atienza, "Thermal balancing of liquid-cooled3-D MPSoCs using channel modulation, " in Proc. Des. Autom. Test Eur. , 2012, pp. 599-604.
-
(2012)
Proc. Des. Autom. Test Eur.
, pp. 599-604
-
-
Sabry, M.M.1
Sridhar, A.2
Atienza, D.3
-
13
-
-
70449589824
-
Hotspot-optimized interlayer cooling in vertically integratedpackages
-
Dec.
-
T. Brunschwiler et al. , "Hotspot-optimized interlayer cooling in vertically integratedpackages, " in Proc. MRS Fall Meeting, Dec. 2009, pp. 223-234.
-
(2009)
Proc. MRS Fall Meeting
, pp. 223-234
-
-
Brunschwiler, T.1
-
14
-
-
47849132667
-
Three-dimensional chip-multiprocessor run-time thermal management
-
Aug.
-
C. Zhu et al. , "Three-dimensional chip-multiprocessor run-time thermal management, "IEEE Trans. Comput.-Aided Design, vol. 27, no. 8, pp. 1479-1492, Aug. 2008.
-
(2008)
IEEE Trans. Comput.-Aided Design
, vol.27
, Issue.8
, pp. 1479-1492
-
-
Zhu, C.1
-
15
-
-
77953118367
-
Energy-efficient variable-flow liquid cooling in 3-D stackedarchitectures
-
Mar.
-
A. K. Coskun et al. , "Energy-efficient variable-flow liquid cooling in 3-D stackedarchitectures, " in Proc. Des. Autom. Test Eur. , Mar. 2010, pp. 111-116.
-
(2010)
Proc. Des. Autom. Test Eur.
, pp. 111-116
-
-
Coskun, A.K.1
-
16
-
-
80052076930
-
Hierarchical thermalmanagement policy for high-performance 3d systems with liquid cooling
-
Jun.
-
F. Zanini, M. M. Sabry, D. Atienza, and G. D. Micheli, "Hierarchical thermalmanagement policy for high-performance 3d systems with liquid cooling, " IEEEJ. Emerging Sel. Top. Circuits Syst. , vol. 1, no. 2, pp. 88-101, Jun. 2011.
-
(2011)
IEEEJ. Emerging Sel. Top. Circuits Syst.
, vol.1
, Issue.2
, pp. 88-101
-
-
Zanini, F.1
Sabry, M.M.2
Atienza, D.3
Micheli, G.D.4
-
17
-
-
79955460997
-
Cyber-physical thermal management of 3-D multicore cacheprocessorsystem with microfluidic cooling
-
H. Qian et al. , "Cyber-physical thermal management of 3-D multicore cacheprocessorsystem with microfluidic cooling, " ASP J. Low Power Electron. , vol. 7, no. 1, pp. 1-12, 2011.
-
(2011)
ASP J. Low Power Electron.
, vol.7
, Issue.1
, pp. 1-12
-
-
Qian, H.1
-
18
-
-
79957631276
-
Angle-of-attack investigation of pin-fin arrays in nonuniformheat-removal cavities for interlayer cooled chip stacks
-
Mar.
-
T. Brunschwiler et al. , "Angle-of-attack investigation of pin-fin arrays in nonuniformheat-removal cavities for interlayer cooled chip stacks, " in Proc. IEEE Symp. Semicond. Thermal Measurement Manag. , Mar. 2011, pp. 116-124.
-
(2011)
Proc. IEEE Symp. Semicond. Thermal Measurement Manag.
, pp. 116-124
-
-
Brunschwiler, T.1
-
19
-
-
0019563707
-
High-performance heat sinking for VLSI
-
May
-
D. B. Tuckerman and R. F. W. Pease, "High-performance heat sinking for VLSI, "IEEE Electron Device Lett. , vol. 2, no. 5, pp. 126-129, May 1981.
-
(1981)
IEEE Electron Device Lett.
, vol.2
, Issue.5
, pp. 126-129
-
-
Tuckerman, D.B.1
Pease, R.F.W.2
-
20
-
-
33845578021
-
Direct liquid jet-impingement cooling with micronsized nozzle array and distributed return architecture
-
DOI 10.1109/ITHERM.2006.1645343, 1645343, Tenth Intersociety Conference on Thermal and Thermomechanical Phenomena and Emerging Technologies in Electronic Systems, ITherm 2006
-
T. Brunschwiler et al. , "Direct liquid-jet impingement cooling with micron-sizednozzle array and distributed return architecture, " in Proc. IEEE ITHERM, May-Jun. 2006, pp. 196-203. (Pubitemid 44929482)
-
(2006)
Thermomechanical Phenomena in Electronic Systems -Proceedings of the Intersociety Conference
, vol.2006
, pp. 196-203
-
-
Brunschwiler, T.1
Rothuizen, H.2
Fabbri, M.3
Kloter, U.4
Michel, B.5
Bezama, R.J.6
Natarajan, G.7
-
21
-
-
77955302194
-
Thermalcharacterization of interlayer microfluidic cooling of three-dimensional integratedcircuits with nonuniform heat flux
-
Y. J. Kim, Y. K. Joshi, A. G. Fedorov, Y. J. Lee, and S. K. Lim, "Thermalcharacterization of interlayer microfluidic cooling of three-dimensional integratedcircuits with nonuniform heat flux, " J. Heat Transfer, vol. 132, no. 4, pp. 041009-1-041009-9, 2010.
-
(2010)
J. Heat Transfer
, vol.132
, Issue.4
, pp. 0410091-0410099
-
-
Kim, Y.J.1
Joshi, Y.K.2
Fedorov, A.G.3
Lee, Y.J.4
Lim, S.K.5
-
22
-
-
0038684860
-
Temperature-aware microarchitecture
-
Jun.
-
K. Skadron, M. R. Stan, W. Huang, V. Sivakumar, S. Karthik, and D. Tarjan, "Temperature-aware microarchitecture, " in Proc. Int. Symp. Comput. Archit. , Jun. 2003, pp. 2-13.
-
(2003)
Proc. Int. Symp. Comput. Archit.
, pp. 2-13
-
-
Skadron, K.1
Stan, M.R.2
Huang, W.3
Sivakumar, V.4
Karthik, S.5
Tarjan, D.6
-
23
-
-
80555154158
-
Modelingand dynamic management of 3-D multicore systems with liquid cooling
-
Oct.
-
A. K. Coskun, J. Ayala, D. Atienza, and T. S. Rosing, "Modelingand dynamic management of 3-D multicore systems with liquid cooling, "in Proc. Int. Conf. Very Large Scale Integr.-SoC, Oct. 2009, pp. 60-65.
-
(2009)
Proc. Int. Conf. Very Large Scale Integr.-SoC
, pp. 60-65
-
-
Coskun, A.K.1
Ayala, J.2
Atienza, D.3
Rosing, T.S.4
-
24
-
-
76349112929
-
Thermal modeling for 3D-ICs withintegrated microchannel cooling
-
Nov.
-
H. Mizunuma, C. L. Yang, and Y. C. Lu, "Thermal modeling for 3D-ICs withintegrated microchannel cooling, " in Proc. Int. Conf. Comput.-Aided Des. , Nov. 2009, pp. 256-263.
-
(2009)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 256-263
-
-
Mizunuma, H.1
Yang, C.L.2
Lu, Y.C.3
-
26
-
-
78650463919
-
Compacttransient thermal model for 3D-ICs with liquid cooling via enhanced heat transfercavity geometries
-
Oct.
-
A. Sridhar, A. Vincenzi, M. Ruggiero, T. Brunschwiler, and D. Atienza, "Compacttransient thermal model for 3D-ICs with liquid cooling via enhanced heat transfercavity geometries, " in Proc. THERMINIC, Oct. 2010, pp. 1-6.
-
(2010)
Proc. THERMINIC
, pp. 1-6
-
-
Sridhar, A.1
Vincenzi, A.2
Ruggiero, M.3
Brunschwiler, T.4
Atienza, D.5
-
27
-
-
78650922891
-
Fast thermal analysis on GPU for 3D-ICs with integratedmicrochannel cooling
-
Nov.
-
Z. Feng and P. Li, "Fast thermal analysis on GPU for 3D-ICs with integratedmicrochannel cooling, " in Proc. Int. Conf. Comput.-Aided Des. , Nov. 2010, pp. 551-555.
-
(2010)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 551-555
-
-
Feng, Z.1
Li, P.2
-
28
-
-
16244385917
-
A thermal-driven floorplanning algorithm for3D-ICs
-
Nov.
-
J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for3D-ICs, " in Proc. Int. Conf. Comput.-Aided Des. , Nov. 2004, pp. 306-313.
-
(2004)
Proc. Int. Conf. Comput.-Aided Des.
, pp. 306-313
-
-
Cong, J.1
Wei, J.2
Zhang, Y.3
-
29
-
-
27444438269
-
A case forthermal-aware floorplanning at the microarchitectural level
-
Oct.
-
K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron, "A case forthermal-aware floorplanning at the microarchitectural level, " J. Instruction-LevelParallelism, vol. 8, pp. 1-16, Oct. 2005.
-
(2005)
J. Instruction-LevelParallelism
, vol.8
, pp. 1-16
-
-
Sankaranarayanan, K.1
Velusamy, S.2
Stan, M.3
Skadron, K.4
-
30
-
-
33846219890
-
Multiobjective microarchitectural floorplanning for2-D and 3-D ICs
-
Jan.
-
M. Healy et al. , "Multiobjective microarchitectural floorplanning for2-D and 3-D ICs, " IEEE Trans. Comput.-Aided Des. , vol. 26, no. 1, pp. 38-52, Jan. 2007.
-
(2007)
IEEE Trans. Comput.-Aided Des.
, vol.26
, Issue.1
, pp. 38-52
-
-
Healy, M.1
-
31
-
-
84886735141
-
Interconnect and thermal-aware floorplanning for 3-D microprocessors
-
Mar.
-
W.-L. Hung et al. , "Interconnect and thermal-aware floorplanning for 3-D microprocessors, "in Proc. Int. Symp. Quality Electronic Design, Mar. 2006, pp. 98-104.
-
(2006)
Proc. Int. Symp. Quality Electronic Design
, pp. 98-104
-
-
Hung, W.-L.1
-
32
-
-
84867676143
-
An efficient channel clustering and flowrate allocation algorithm for non-uniform microfluidic cooling of 3-D integratedcircuits
-
H. Qian, C. Chang, and H. Yu, "An efficient channel clustering and flowrate allocation algorithm for non-uniform microfluidic cooling of 3-D integratedcircuits, " Integr. VLSI J. , vol. 46, no. 1, pp. 57-68, 2013.
-
(2013)
Integr. VLSI J.
, vol.46
, Issue.1
, pp. 57-68
-
-
Qian, H.1
Chang, C.2
Yu, H.3
-
33
-
-
80052659383
-
Non-uniform micro-channel design for stacked 3D-ICs
-
Jun.
-
B. Shi et al. , "Non-uniform micro-channel design for stacked 3D-ICs, " in Proc. Design Autom. Conf. , Jun. 2011, pp. 658-663.
-
(2011)
Proc. Design Autom. Conf.
, pp. 658-663
-
-
Shi, B.1
-
34
-
-
80052057605
-
Thermal modeling and analysis for 3D-ICs with integratedmicrochannel cooling
-
Sep.
-
H. Mizunuma et al. , "Thermal modeling and analysis for 3D-ICs with integratedmicrochannel cooling, " IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. , vol. 30, no. 9, pp. 1293-1306, Sep. 2011.
-
(2011)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.30
, Issue.9
, pp. 1293-1306
-
-
Mizunuma, H.1
-
36
-
-
84863550102
-
Optimizing energyefficiency of 3-D multicore systems with stacked dram under powerand thermal constraints
-
Jun.
-
J. Meng, K. Kawakami, and A. K. Coskun, "Optimizing energyefficiency of 3-D multicore systems with stacked dram under powerand thermal constraints, " in Proc. Design Autom. Conf. , Jun. 2012, pp. 648-655.
-
(2012)
Proc. Design Autom. Conf.
, pp. 648-655
-
-
Meng, J.1
Kawakami, K.2
Coskun, A.K.3
-
37
-
-
79957785401
-
Run-time energy managementof manycore systems through reconfigurable interconnects
-
May
-
J. Meng, C. Chen, A. K. Coskun, and A. Joshi, "Run-time energy managementof manycore systems through reconfigurable interconnects, " in Proc. ACM/IEEEGreat Lakes Symp. VLSI, May 2011, pp. 43-48.
-
(2011)
Proc. ACM/IEEEGreat Lakes Symp. VLSI
, pp. 43-48
-
-
Meng, J.1
Chen, C.2
Coskun, A.K.3
Joshi, A.4
-
38
-
-
66849124697
-
Adaptive data compression for high-performancelow-power on-chip networks
-
Nov.
-
Y. Jin, K. H. Yum, and E. J. Kim, "Adaptive data compression for high-performancelow-power on-chip networks, " in Proc. IEEE/ACM Int. Symp. Microarchit. , Nov. 2008, pp. 354-363.
-
(2008)
Proc. IEEE/ACM Int. Symp. Microarchit.
, pp. 354-363
-
-
Jin, Y.1
Yum, K.H.2
Kim, E.J.3
-
39
-
-
78149234452
-
Handling the problems and opportunities posedby multiple on-chip memory controllers
-
Sep.
-
M. Awasthi et al. , "Handling the problems and opportunities posedby multiple on-chip memory controllers, " in Proc. PACT, Sep. 2010, pp. 319-330.
-
(2010)
Proc. PACT
, pp. 319-330
-
-
Awasthi, M.1
-
40
-
-
84875149947
-
-
Micron Technology, Inc. . DRAM Component Datasheet [Online]. Available
-
Micron Technology, Inc. (2011). DRAM Component Datasheet [Online]. Available:http://www.micron.com/http://www.micron.com
-
(2011)
-
-
-
41
-
-
52649125840
-
3D-stacked memory architectures for multicore processors
-
Jun.
-
G. H. Loh, "3D-stacked memory architectures for multicore processors, " in Proc. Int. Symp. Comput. Archit. , Jun. 2008, pp. 453-464.
-
(2008)
Proc. Int. Symp. Comput. Archit.
, pp. 453-464
-
-
Loh, G.H.1
-
42
-
-
34547204691
-
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy
-
DOI 10.1145/1146909.1147160, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06
-
G. L. Loi, B. Agrawal, N. Srivastava, S.-C. Lin, T. Sherwood, and K. Banerjee, "A thermally-aware performance analysis of vertically integrated (3-D) processormemoryhierarchy, " in Proc. Design Autom. Conf. , July 2006, pp. 991-996. (Pubitemid 47114040)
-
(2006)
Proceedings - Design Automation Conference
, pp. 991-996
-
-
Loi, G.L.1
Agrawal, B.2
Srivastava, N.3
Lin, S.-C.4
Sherwood, T.5
Banerjee, K.6
-
43
-
-
84859464490
-
The gem5 simulator
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, "The gem5 simulator, " SIGARCH Comput. Archit. News, vol. 39, no. 2, pp. 1-7, 2011.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
44
-
-
79953093822
-
Benchmarking modern multiprocessors
-
Princeton Univ. , Princeton, NJ Jan.
-
C. Bienia, "Benchmarking modern multiprocessors, " Ph. D. dissertation, Dept. Comput. Sci. , Princeton Univ. , Princeton, NJ, Jan. 2011.
-
(2011)
Ph. D. Dissertation, Dept. Comput. Sci.
-
-
Bienia, C.1
-
45
-
-
76749146060
-
Mcpat: An integrated power, area, and timing modeling frameworkfor multicore and manycore architectures
-
Dec.
-
S. Li et al. , "Mcpat: An integrated power, area, and timing modeling frameworkfor multicore and manycore architectures, " in Proc. IEEE Symp. Microarchit. , Dec. 2009, pp. 469-480.
-
(2009)
Proc. IEEE Symp. Microarchit.
, pp. 469-480
-
-
Li, S.1
-
46
-
-
34547664408
-
CACTI 4. 0
-
D. Tarjan, S. Thoziyoor, and N. P. Jouppi, "CACTI 4. 0, " HP Labs. , Palo Alto, CA, Tech. Rep. HPL-2006-86, 2006.
-
(2006)
HP Labs. , Palo Alto, CA, Tech. Rep. HPL-2006-86
-
-
Tarjan, D.1
Thoziyoor, S.2
Jouppi, N.P.3
-
47
-
-
84875208352
-
-
Micron Technology, Inc. . DRAM Power Calculations [Online]. Available
-
Micron Technology, Inc. (2011). DRAM Power Calculations [Online]. Available:http://www.micron.com/. http://www.micron.com/
-
(2011)
-
-
-
48
-
-
77952123736
-
A 48-core IA-32 message-passing processor withDVFS in 45 nm CMOS
-
Feb.
-
J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom, F. Pailet, S. Jain, T. Jacob, S. Yada, S. Marella, P. Salihundam, V. Erraguntla, M. Konow, M. Riepen, G. Droege, J. Lindemann, M. Gries, T. Apel, K. Henriss, T. Lund-Larsen, S. Steibl, S. Borkar, V. De, R. VanDer Wijngaart, and T. Mattson, "A 48-core IA-32 message-passing processor withDVFS in 45 nm CMOS, " in Proc. IEEE Int. Solid-State Circuits Conf. , Feb. 2010, pp. 108-109.
-
(2010)
Proc. IEEE Int. Solid-State Circuits Conf.
, pp. 108-109
-
-
Howard, J.1
Dighe, S.2
Hoskote, Y.3
Vangal, S.4
Finan, D.5
Ruhl, G.6
Jenkins, D.7
Wilson, H.8
Borkar, N.9
Schrom, G.10
Pailet, F.11
Jain, S.12
Jacob, T.13
Yada, S.14
Marella, S.15
Salihundam, P.16
Erraguntla, V.17
Konow, M.18
Riepen, M.19
Droege, G.20
Lindemann, J.21
Gries, M.22
Apel, T.23
Henriss, K.24
Lund-Larsen, T.25
Steibl, S.26
Borkar, S.27
De, V.28
Vander Wijngaart, R.29
Mattson, T.30
more..
|