-
1
-
-
34547217005
-
A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip
-
D. Atienza, P. D. Valle, G. Paci, F. Poletti, L. Benini, G. D. Micheli, and J. M. Mendias. A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip. In DAC, 2006.
-
(2006)
DAC
-
-
Atienza, D.1
Valle, P.D.2
Paci, G.3
Poletti, F.4
Benini, L.5
Micheli, G.D.6
Mendias, J.M.7
-
3
-
-
40349090128
-
Die stacking (3d) microarchitecture
-
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. H. Loh, D. McCaule, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb. Die stacking (3d) microarchitecture. In MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, pages 469-479, 2006.
-
(2006)
MICRO 39: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 469-479
-
-
Black, B.1
Annavaram, M.2
Brekelbaum, N.3
DeVale, J.4
Jiang, L.5
Loh, G.H.6
McCaule, D.7
Morrow, P.8
Nelson, D.W.9
Pantuso, D.10
Reed, P.11
Rupley, J.12
Shankar, S.13
Shen, J.14
Webb, C.15
-
4
-
-
70350072042
-
Power-efficient microarchitectural choices at the early design stage
-
P. Bose. Power-efficient microarchitectural choices at the early design stage. In Keynote Address on PACS, 2003.
-
(2003)
Keynote Address on PACS
-
-
Bose, P.1
-
5
-
-
0034836755
-
Dynamic thermal management for high-performance microprocessors
-
D. Brooks and M. Martonosi. Dynamic thermal management for high-performance microprocessors. In HPCA, pages 171-182, 2001.
-
(2001)
HPCA
, pp. 171-182
-
-
Brooks, D.1
Martonosi, M.2
-
6
-
-
33947117572
-
Direct liquid-jet impingement cooling with micron-sized nozzle array and distributed return architecture
-
T. Brunschwiler and et al. Direct liquid-jet impingement cooling with micron-sized nozzle array and distributed return architecture. In ITHERM, 2006.
-
(2006)
ITHERM
-
-
Brunschwiler, T.1
-
7
-
-
71749098519
-
Interlayer cooling potential in vertically integrated packages
-
T. Brunschwiler and et al. Interlayer cooling potential in vertically integrated packages. Microsyst. Technol., 2008.
-
(2008)
Microsyst. Technol.
-
-
Brunschwiler, T.1
-
8
-
-
57849133498
-
Proactive temperature balancing for low-cost thermal management in MPSoCs
-
A. K. Coskun, T. Rosing, and K. Gross. Proactive temperature balancing for low-cost thermal management in MPSoCs. In ICCAD, 2008.
-
(2008)
ICCAD
-
-
Coskun, A.K.1
Rosing, T.2
Gross, K.3
-
9
-
-
50849108147
-
Static and dynamic temperature-aware scheduling for multiprocessor socs
-
Sept.
-
A. K. Coskun, T. S. Rosing, K. A. Whisnant, and K. C. Gross. Static and dynamic temperature-aware scheduling for multiprocessor socs. IEEE Transactions on VLSI, 16(9):1127-1140, Sept. 2008.
-
(2008)
IEEE Transactions on VLSI
, vol.16
, Issue.9
, pp. 1127-1140
-
-
Coskun, A.K.1
Rosing, T.S.2
Whisnant, K.A.3
Gross, K.C.4
-
10
-
-
33845904113
-
Techniques for multicore thermal management: Classification and new exploration
-
J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. In ISCA, 2006.
-
(2006)
ISCA
-
-
Donald, J.1
Martonosi, M.2
-
11
-
-
12844249966
-
Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system
-
M. Gomaa, M. D. Powell, and T. N. Vijaykumar. Heat-and-Run: leveraging SMT and CMP to manage power density through the operating system. In ASPLOS, 2004.
-
(2004)
ASPLOS
-
-
Gomaa, M.1
Powell, M.D.2
Vijaykumar, T.N.3
-
12
-
-
33846219890
-
Multiobjective microarchitectural floorplanning for 2-d and 3-d ICs
-
Jan
-
M. Healy and et al. Multiobjective microarchitectural floorplanning for 2-d and 3-d ICs. IEEE Transactions on CAD, 26(1), Jan 2007.
-
(2007)
IEEE Transactions on CAD
, vol.26
, Issue.1
-
-
Healy, M.1
-
13
-
-
1542269347
-
Reducing power density through activity migration
-
S. Heo, K. Barr, and K. Asanovic. Reducing power density through activity migration. In ISLPED, pages 217-222, 2003.
-
(2003)
ISLPED
, pp. 217-222
-
-
Heo, S.1
Barr, K.2
Asanovic, K.3
-
15
-
-
84886735141
-
Interconnect and thermal-aware floorplanning for 3d microprocessors
-
W.-L. Hung, G. Link, Y. Xie, N. Vijaykrishnan, and M. Irwin. Interconnect and thermal-aware floorplanning for 3d microprocessors. In ISQED, pages 98-104, 2006.
-
(2006)
ISQED
, pp. 98-104
-
-
Hung, W.-L.1
Link, G.2
Xie, Y.3
Vijaykrishnan, N.4
Irwin, M.5
-
16
-
-
0036046921
-
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology
-
P. Kapur, G. Chandra, and K. Saraswat. Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. In DAC, pages 461-466, 2002.
-
(2002)
DAC
, pp. 461-466
-
-
Kapur, P.1
Chandra, G.2
Saraswat, K.3
-
17
-
-
15044356680
-
Integrated microchannel cooling for three-dimensional electronic circuit architectures
-
J.-M. Koo, S. Im, L. Jiang, and K. E. Goodson. Integrated microchannel cooling for three-dimensional electronic circuit architectures. Journal of Heat Transfer, 2005.
-
(2005)
Journal of Heat Transfer
-
-
Koo, J.-M.1
Im, S.2
Jiang, L.3
Goodson, K.E.4
-
18
-
-
34547143358
-
HybDTM: A coordinated hardware-software approach for dynamic thermal management
-
A. Kumar, L. Shang, L.-S. Peh, and N. K. Jha. HybDTM: a coordinated hardware-software approach for dynamic thermal management. In DAC, pages 548-553, 2006.
-
(2006)
DAC
, pp. 548-553
-
-
Kumar, A.1
Shang, L.2
Peh, L.-S.3
Jha, N.K.4
-
20
-
-
33846230308
-
A power-efficient high-throughput 32-thread SPARC processor
-
A. Leon and et al. A power-efficient high-throughput 32-thread SPARC processor. ISSCC, 2006.
-
(2006)
ISSCC
-
-
Leon, A.1
-
22
-
-
34547673128
-
Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors
-
K. Puttaswamy and G. H. Loh. Thermal herding: Microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In International Symposium on High Performance Computer Architecture (HPCA), pages 193-204, 2007.
-
(2007)
International Symposium on High Performance Computer Architecture (HPCA)
, pp. 193-204
-
-
Puttaswamy, K.1
Loh, G.H.2
-
23
-
-
34047117937
-
Communication-aware allocation and scheduling framework for stream-oriented multi-processor system-on-chip
-
M. Ruggiero, A. Guerri, D. Bertozzi, F. Poletti, and M. Milano. Communication-aware allocation and scheduling framework for stream-oriented multi-processor system-on-chip. In DATE, pages 3-8, 2006.
-
(2006)
DATE
, pp. 3-8
-
-
Ruggiero, M.1
Guerri, A.2
Bertozzi, D.3
Poletti, F.4
Milano, M.5
-
24
-
-
0038684860
-
Temperature-aware microarchitecture
-
K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-aware microarchitecture. In ISCA, 2003.
-
(2003)
ISCA
-
-
Skadron, K.1
Stan, M.2
Huang, W.3
Velusamy, S.4
Sankaranarayanan, K.5
Tarjan, D.6
-
26
-
-
1542269367
-
Full-chip leakage estimation considering power supply and temperature variations
-
H. Su and et al. Full-chip leakage estimation considering power supply and temperature variations. In ISLPED, 2003.
-
(2003)
ISLPED
-
-
Su, H.1
-
29
-
-
0036056702
-
Task scheduling and voltage selection for energy minimization
-
Y. Zhang, X. S. Hu, and D. Z. Chen. Task scheduling and voltage selection for energy minimization. In DAC, pages 183-188, 2002.
-
(2002)
DAC
, pp. 183-188
-
-
Zhang, Y.1
Hu, X.S.2
Chen, D.Z.3
-
30
-
-
47849132667
-
Three-dimensional chip-multiprocessor run-time thermal management
-
August
-
C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Transactions on CAD, 27 (8): 1479-1492, August 2008.
-
(2008)
IEEE Transactions on CAD
, vol.27
, Issue.8
, pp. 1479-1492
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.P.4
Joseph, R.5
|