-
2
-
-
81255197504
-
-
available at microprocessor
-
Broadband Engine Processor available at http://en.wikipedia.org/wiki/Cell (microprocessor).
-
Broadband Engine Processor
-
-
-
3
-
-
80155154129
-
PC-Mesh: A Dynamic Parallel Concentrated Mesh
-
accepted in the
-
J. Camacho, J. Flich, A. Roca and J. Duato, "PC-Mesh: A Dynamic Parallel Concentrated Mesh," accepted in the International Conference on Parallel Processing, September 2011.
-
International Conference on Parallel Processing, September 2011
-
-
Camacho, J.1
Flich, J.2
Roca, A.3
Duato, J.4
-
5
-
-
77955112291
-
Traffic- And thermal-aware run-time thermal management scheme for 3D NoC systems
-
May
-
Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu, and An-Yeu Wu, "Traffic- and thermal-aware run-time thermal management scheme for 3D NoC systems," in ACM/IEEE Int. Symp. Networks-on-Chip (NoCS), pp. 223-230, May 2010.
-
(2010)
ACM/IEEE Int. Symp. Networks-on-Chip (NoCS)
, pp. 223-230
-
-
Chao, C.-H.1
Jheng, K.-Y.2
Wang, H.-Y.3
Wu, J.-C.4
Wu, A.-Y.5
-
6
-
-
1542269364
-
Leakage Power Modeling and Optimization in Interconnection Networks
-
August
-
X. Chen and L.-S. Peh, "Leakage Power Modeling and Optimization in Interconnection Networks," in Int. Symposium on Low Power Electronics and Design, pages 90-95, August 2003.
-
(2003)
Int. Symposium on Low Power Electronics and Design
, pp. 90-95
-
-
Chen, X.1
Peh, L.-S.2
-
7
-
-
62349086227
-
Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks
-
September
-
W. J. Dally, "Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks," in IEEE Transactions on Computers, 40(9):1016-1023, September 1991.
-
(1991)
IEEE Transactions on Computers
, vol.40
, Issue.9
, pp. 1016-1023
-
-
Dally, W.J.1
-
8
-
-
64949096127
-
Express Cube Topologies for On-Chip Interconnects
-
B. Grot, J. Hestness, S. Keckler, O. Mutly, "Express Cube Topologies for On-Chip Interconnects," in Int. Symposium on High-Performance Computer Architecture, 2009.
-
Int. Symposium on High-Performance Computer Architecture, 2009
-
-
Grot, B.1
Hestness, J.2
Keckler, S.3
Mutly, O.4
-
11
-
-
16244409255
-
Microarchitectural Techniques for Power Gating of Execution Units
-
August
-
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural Techniques for Power Gating of Execution Units," in Int. Symposium on Low Power Electronics and Design, pages 32-37, August 2004.
-
(2004)
Int. Symposium on Low Power Electronics and Design
, pp. 32-37
-
-
Hu, Z.1
Buyuktosunoglu, A.2
Srinivasan, V.3
Zyuban, V.4
Jacobson, H.5
Bose, P.6
-
12
-
-
70350060187
-
ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration
-
Andrew Kahng, Bin Li, Li-Shiuan Peh and Kambiz Samadi, "ORION 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration," in Design Automation and Test in Europe (DATE), Nice, France, April 2009.
-
Design Automation and Test in Europe (DATE), Nice, France, April 2009
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
14
-
-
79952915826
-
Vertical interconnects squeezing in symmetric 3D mesh Network-on-Chip
-
Cheng Liu, Lei Zhang, Yinhe Han, Xiaowei Li, "Vertical interconnects squeezing in symmetric 3D mesh Network-on-Chip," in ASP-DAC 2011:357-362, 2011.
-
(2011)
ASP-DAC 2011
, pp. 357-362
-
-
Liu, C.1
Zhang, L.2
Han, Y.3
Li, X.4
-
15
-
-
84867757431
-
Supporting vertical links for 3d networks-on-chip: Toward an automated design and analysis flow
-
I. Loi, F. Angiolini, and L. Benini, "Supporting vertical links for 3d networks-on-chip: Toward an automated design and analysis flow," in Proceedings of the Nano-Net Conference, pp. 23-27, 2007.
-
(2007)
Proceedings of the Nano-Net Conference
, pp. 23-27
-
-
Loi, I.1
Angiolini, F.2
Benini, L.3
-
16
-
-
0036469676
-
Simics: A full system simulation platform
-
Peter S. Magnusson et al., "Simics: A full system simulation platform," in Computer, 35(2):50-58, 2002.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Peter, S.M.1
-
17
-
-
81255210641
-
Multifacet, a general execution-driven multiprocessor simulator (GEMS) toolset
-
M. Martin, D. Sorin, B. Beckmann, M. Marty, M. Xu, A. Almadeen, K. Moore, M. Hill, D. Wood, "Multifacet, a general execution-driven multiprocessor simulator (GEMS) toolset," in Computer Architecture News, September 2005.
-
Computer Architecture News, September 2005
-
-
Martin, M.1
Sorin, D.2
Beckmann, B.3
Marty, M.4
Xu, M.5
Almadeen, A.6
Moore, K.7
Hill, M.8
Wood, D.9
-
18
-
-
49549124458
-
Run-time Power Gating of On-Chip Switches Using Look-Ahead Routing
-
January
-
H. Matsutani, M. Koibuchi, H. Amano, and D. Wang, "Run-time Power Gating of On-Chip Switches Using Look-Ahead Routing.," in Asia and South Pacific Design Automation Conference, pages 55-60, January 2008.
-
(2008)
Asia and South Pacific Design Automation Conference
, pp. 55-60
-
-
Matsutani, H.1
Koibuchi, M.2
Amano, H.3
Wang, D.4
-
19
-
-
44149093159
-
Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks
-
April
-
H. Matsutani, M. Koibuchi, D. Wang, and H. Amano, "Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks," in Int. Symposium on Networks-on-Chip, pages 23-32, April 2008.
-
(2008)
Int. Symposium on Networks-on-Chip
, pp. 23-32
-
-
Matsutani, H.1
Koibuchi, M.2
Wang, D.3
Amano, H.4
-
22
-
-
70350077914
-
3-D Topologies for Networks-on-Chip
-
October
-
V. F. Pavlidis and E. G. Friedman, "3-D Topologies for Networks-on-Chip," in IEEE TVLSI, October 2007.
-
(2007)
IEEE TVLSI
-
-
Pavlidis, V.F.1
Friedman, E.G.2
-
23
-
-
31344457004
-
Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor
-
January
-
D. Pham et al., "Overview of the Architecture, Circuit Design, and Physical Implementation of a First-Generation Cell Processor," in IEEE Journal of Solid-State Circuits, 41(1):179-196, January 2006.
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 179-196
-
-
Pham, D.1
-
24
-
-
0033672408
-
Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories
-
July
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, "Gated-Vdd: a Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories,"in Int. Symposium on Low Power Electronics and Design, pages 90-95, July 2000.
-
(2000)
Int. Symposium on Low Power Electronics and Design
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
25
-
-
36849004429
-
Bringing NoCs to 65nm
-
IEEE Press, September
-
A. Pullini et al., "Bringing NoCs to 65nm,"in IEEE Micro Magazine, Vol. 12, Nr. 5, pp. 75-85, IEEE Press, September 2007.
-
(2007)
IEEE Micro Magazine
, vol.12
, Issue.5
, pp. 75-85
-
-
Pullini, A.1
-
26
-
-
78049338046
-
-
available at
-
Single-chip Cloud Computer available at http://techresearch.intel.com/ articles/Tera- Scale/1826.htm.
-
Single-chip Cloud Computer
-
-
-
27
-
-
84944076443
-
Dynamic Power Management for Power Optimization of Interconnection Networks Using On/Off Links
-
August
-
V. Soteriou and L.-S. Peh, "Dynamic Power Management for Power Optimization of Interconnection Networks Using On/Off Links," in Int. Symposium on High Performance Interconnects, pages 15-20, August 2003.
-
(2003)
Int. Symposium on High Performance Interconnects
, pp. 15-20
-
-
Soteriou, V.1
Peh, L.-S.2
-
28
-
-
38149022809
-
-
available at
-
Teraflops Research Chip available at http://www.intel.com/pressroom/kits/ teraflops.
-
Teraflops Research Chip
-
-
-
29
-
-
84855203642
-
-
available at
-
Tile-Gx Processors Family available at http://www.tilera.com/products/ TILE-Gx.php.
-
Tile-Gx Processors Family
-
-
-
30
-
-
34548858682
-
An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS
-
February
-
S. Vangal et al., "An 80-Tile 1.28 TFLOPS Network-on-Chip in 65nm CMOS," in Int. Solid-State Circuits Conference, pages 98-99, February 2007.
-
(2007)
Int. Solid-State Circuits Conference
, pp. 98-99
-
-
Vangal, S.1
-
31
-
-
0031236158
-
Baring It All to Software: RAWMachines
-
September
-
E. Waingold et al., "Baring It All to Software: RAWMachines," in IEEE Computer, 30(9):86-93, September 1997.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 86-93
-
-
Waingold, E.1
-
32
-
-
49849099251
-
Extending systems-on-chip to the third dimension: Performance, cost and technological tradeoffs
-
IEEE
-
Weerasekera, Roshan and Zheng, Li-Rong and Pamunuwa, Dinesh B. and Tenhunen, Hannu, "Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs," in Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, pp. 212-219, 2007.
-
(2007)
Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
, pp. 212-219
-
-
Weerasekera, R.1
Zheng, L.-R.2
Pamunuwa, D.B.3
Tenhunen, H.4
-
33
-
-
36849030305
-
On-Chip Interconnection Architecture of the Tile Processor
-
September/October
-
D. Wentzlaff et al., "On-Chip Interconnection Architecture of the Tile Processor," in IEEE Micro, 27(5):15-31, September/October 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 15-31
-
-
Wentzlaff, D.1
-
34
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, A. Gupta, A., "The SPLASH-2 programs: characterization and methodological considerations," in 22nd Annual Int. Symposium on Computer Architecture, Italy, June 22 - 24, pp. 24-36, 1995.
-
(1995)
22nd Annual Int. Symposium on Computer Architecture, Italy, June 22 - 24
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta A, A.5
-
36
-
-
47849132667
-
Three-dimensional chip-multiprocessor run-time thermal management
-
C. Zhu, Z. Gu, L. Shang, R. Dick, and R. Joseph, "Three-dimensional chip-multiprocessor run-time thermal management," in IEEE Transactions on Computer-Aided Design, vol. 27, no.3, 2008.
-
(2008)
IEEE Transactions on Computer-Aided Design
, vol.27
, Issue.3
-
-
Zhu, C.1
Gu, Z.2
Shang, L.3
Dick, R.4
Joseph, R.5
|