-
2
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
January
-
L. Benini and G. De Micheli, “Networks on chips: A new SoC paradigm,” IEEE Computer, vol. 35, no. 1, pp. 70 – 78, January 2002.
-
(2002)
IEEE Computer
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
de Micheli, G.2
-
3
-
-
33947376744
-
Cmos transistor processing compatible with monolithic 3-d integration
-
B. Rajendran, R. S. Shenoy, D. J. Witte, N. S. Chokshi, R. L. DeLeon, and G. S. Tompa, “Cmos transistor processing compatible with monolithic 3-d integration,” in Proc. VLSI Interconnection (VMIC), 2005, pp. 76–82.
-
(2005)
Proc. VLSI Interconnection (VMIC)
, pp. 76-82
-
-
Rajendran, B.1
Shenoy, R.S.2
Witte, D.J.3
Chokshi, N.S.4
DeLeon, R.L.5
Tompa, G.S.6
-
5
-
-
33947423949
-
Wafer direct bonding: From advanced substrate engineering to future applications in micro/nanoelectronics
-
December
-
S. Christiansen, R. Singh, and U. Gosele, “Wafer direct bonding: From advanced substrate engineering to future applications in micro/nanoelectronics,” in Proceedings of the IEEE, December 2006, pp. 2060–2106.
-
(2006)
Proceedings of The IEEE
, pp. 2060-2106
-
-
Christiansen, S.1
Singh, R.2
Gosele, U.3
-
6
-
-
33947376304
-
Wafer-stacked package technology for high-performance system
-
K. Lee, “Wafer-stacked package technology for high-performance system,” in RTI Int. technology Venture Forum, 2005.
-
(2005)
RTI Int. Technology Venture Forum
-
-
Lee, K.1
-
7
-
-
85134492722
-
Z-axis interconnects using fine pitch, nanoscale through-silicon vias: Process development
-
S.Spiesshoefer and et al, “Z-axis interconnects using fine pitch, nanoscale through-silicon vias: Process development,” in Electronic Components and Technology Conference, 2004.
-
(2004)
Electronic Components and Technology Conference
-
-
Spiesshoefer, S.1
-
8
-
-
33947407658
-
Three-dimensional integrated circuits and the future of system-on-chip designs
-
June
-
R. S. Patti, “Three-dimensional integrated circuits and the future of system-on-chip designs,” Proceedings of the IEEE, vol. 94, no. 6, June 2006.
-
(2006)
Proceedings of The IEEE
, vol.94
, Issue.6
-
-
Patti, R.S.1
-
9
-
-
33748533457
-
Three-dimensional integrated circuits
-
July/September
-
A. W. Topol, J. D. C. La Tulipe, L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and M. Ieong, “Three-dimensional integrated circuits,” IBM Journal of Research and Development, vol. 50, no. 4/5, pp. 491–506, July/September 2006.
-
(2006)
IBM Journal of Research and Development
, vol.50
, Issue.4-5
, pp. 491-506
-
-
Topol, A.W.1
la Tulipe, J.D.C.2
Shi, L.3
Frank, D.J.4
Bernstein, K.5
Steen, S.E.6
Kumar, A.7
Singco, G.U.8
Young, A.M.9
Guarini, K.W.10
Ieong, M.11
-
10
-
-
0034848111
-
On-chip communication architecture for OC-768 network processors
-
F. Karim, A. Nguyen, S. Dey, and R. Rao, “On-chip communication architecture for OC-768 network processors,” in Proceedings of the Design Automation Conference (DAC), 2001, pp. 678 – 683.
-
(2001)
Proceedings of The Design Automation Conference (DAC)
, pp. 678-683
-
-
Karim, F.1
Nguyen, A.2
Dey, S.3
Rao, R.4
-
11
-
-
33847724870
-
Fault tolerance overhead in network-on-chip flow control schemes
-
A. Pullini, F. Angiolini, D. Bertozzi, and L. Benini, “Fault tolerance overhead in network-on-chip flow control schemes,” in Proceedings of the 18th Annual Symposium on Integrated Circuits and System Design (SBCCI), 2005, pp. 224–229.
-
(2005)
Proceedings of The 18th Annual Symposium on Integrated Circuits and System Design (SBCCI)
, pp. 224-229
-
-
Pullini, A.1
Angiolini, F.2
Bertozzi, D.3
Benini, L.4
-
12
-
-
84948976085
-
Orion: A power-performance simulator for interconnection networks
-
IEEE/ACM, November
-
W. Hang-Sheng, Z. Xinping, P. Li-Shiuan, and S. Malik, “Orion: a power-performance simulator for interconnection networks,” in Proceedings of 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE/ACM, November 2002, pp. 294–305.
-
(2002)
Proceedings of 35th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 294-305
-
-
Hang-Sheng, W.1
Xinping, Z.2
Li-Shiuan, P.3
Malik, S.4
-
13
-
-
1242309790
-
QNoC: QoS architecture and design process for network on chip
-
Elsevier
-
E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny, “QNoC: QoS architecture and design process for network on chip,” in Journal of Systems Architecture. Elsevier, 2004.
-
(2004)
Journal of Systems Architecture
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
16
-
-
77952604992
-
Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture
-
April
-
A. Sheibanyrad, I. M. Panades, and A. Greiner, “Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture,” in Design, Automation & Test in Europe Conference & Exhibition, April 2007, pp. 1–6.
-
(2007)
Design, Automation & Test in Europe Conference & Exhibition
, pp. 1-6
-
-
Sheibanyrad, A.1
Panades, I.M.2
Greiner, A.3
-
18
-
-
33746910637
-
Mapping and configuration methods for multi-use-case networks on chips
-
New York, NY, USA: ACM Press
-
S. Murali, M. Coenen, A. Radulescu, K. Goossens, and G. D. Micheli, “Mapping and configuration methods for multi-use-case networks on chips,” in Proceedings of the 2006 conference on Asia South Pacific design automation (ASP-DAC). New York, NY, USA: ACM Press, 2006, pp. 146–151.
-
(2006)
Proceedings of The 2006 Conference on Asia South Pacific Design Automation (ASP-DAC)
, pp. 146-151
-
-
Murali, S.1
Coenen, M.2
Radulescu, A.3
Goossens, K.4
Micheli, G.D.5
-
20
-
-
3042660381
-
An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration
-
A. Radulescu, J. Dielissen, K. Goossens, E. Rijpkema, and P. Wielage, “An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration,” in Proceedings of the 2004 Design, Automation and Test in Europe Conference (DATE). IEEE, 2004.
-
(2004)
Proceedings of The 2004 Design, Automation and Test in Europe Conference (DATE)
-
-
Radulescu, A.1
Dielissen, J.2
Goossens, K.3
Rijpkema, E.4
Wielage, P.5
-
22
-
-
2442698800
-
A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform
-
IEEE Computer Society
-
K. Lee, S.-J. Lee, S.-E. Kim, H.-M. Choi, D. Kim, S. Kim, M.-W. Lee, and H.-J. Yoo, “A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform,” in Digest of Technical Papers of the 2004 IEEE International Solid-State Circuits Conference (ISSC). IEEE Computer Society, 2004, pp. 152–518.
-
(2004)
Digest of Technical Papers of The 2004 IEEE International Solid-State Circuits Conference (ISSC)
, pp. 152-518
-
-
Lee, K.1
Lee, S.-J.2
Kim, S.-E.3
Choi, H.-M.4
Kim, D.5
Kim, S.6
Lee, M.-W.7
Yoo, H.-J.8
-
24
-
-
36348941764
-
Fast, accurate and detailed noc simulations
-
IEEE Computer Society
-
P. T. Wolkotte, P. K. Holzenspies, and G. J. Smit, “Fast, accurate and detailed noc simulations,” in Proceedings of the First International Symposium on Networks-on-Chip (NOCS). IEEE Computer Society, 2007.
-
(2007)
Proceedings of The First International Symposium on Networks-on-Chip (NOCS)
-
-
Wolkotte, P.T.1
Holzenspies, P.K.2
Smit, G.J.3
-
25
-
-
33847706260
-
Networks on chips: A synthesis perspective
-
F. Angiolini, P. Meloni, D. Bertozzi, L. Benini, S. Carta, and L. Raffo, “Networks on chips: A synthesis perspective,” in Proceedings of the 2005 ParCo Conference, 2005.
-
(2005)
Proceedings of The 2005 ParCo Conference
-
-
Angiolini, F.1
Meloni, P.2
Bertozzi, D.3
Benini, L.4
Carta, S.5
Raffo, L.6
-
26
-
-
33847762802
-
A layout-aware analysis of networks-on-chip and traditional interconnects for mpsocs
-
March
-
F. Angiolini, P. Meloni, S. Carta, L. Raffo, and L. Benini, “A layout-aware analysis of networks-on-chip and traditional interconnects for mpsocs,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 3, pp. 421–434, March 2007.
-
(2007)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.26
, Issue.3
, pp. 421-434
-
-
Angiolini, F.1
Meloni, P.2
Carta, S.3
Raffo, L.4
Benini, L.5
-
29
-
-
35348908288
-
A novel dimensionally-decomposed router for on-chip communication in 3d architectures
-
J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, N. Vijaykrishnan, M. S. Yousif, and C. R. Das, “A novel dimensionally-decomposed router for on-chip communication in 3d architectures,” in Proceedings of the 34th International Symposium on Computer Architecture (ISCA), 2007.
-
(2007)
Proceedings of The 34th International Symposium on Computer Architecture (ISCA)
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Das, R.4
Xie, Y.5
Vijaykrishnan, N.6
Yousif, M.S.7
Das, C.R.8
-
30
-
-
50149115653
-
3D on-chip networking technology based on post-silicon devices for future networks-on-chip
-
September
-
S. Fujita, K. Nomura, K. Abe, and T. Lee, “3d on-chip networking technology based on post-silicon devices for future networks-on-chip,” in Nano-Networks and Workshops, September 2006, pp. 1–5.
-
(2006)
Nano-Networks and Workshops
, pp. 1-5
-
-
Fujita, S.1
Nomura, K.2
Abe, K.3
Lee, T.4
-
31
-
-
33947651550
-
-
A. Corp., “Q3d extractor,” 2007, http://www.ansoft.com/products/si/q3dextractor/.
-
(2007)
Q3d Extractor
-
-
Corp, A.1
-
32
-
-
0346076629
-
Contact resistance measurament of bonded copper interconnects for three-dimensional integration technology
-
January
-
K. N. Chen, A. Fan, and C. S. T. ans R. Reif, “Contact resistance measurament of bonded copper interconnects for three-dimensional integration technology,” IEEE ELECTRON DEVICE LETTERS, vol. 25, no. 1, January 2005.
-
(2005)
IEEE ELECTRON DEVICE LETTERS
, vol.25
, Issue.1
-
-
Chen, K.N.1
Fan, A.2
Ans, C.S.T.3
Reif, R.4
-
33
-
-
46149088969
-
Designing application-specific networks on chips with floorplan information
-
New York, NY, USA: ACM Press
-
S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, and G. D. Micheli, “Designing application-specific networks on chips with floorplan information,” in Proceedings of the 2006 International Conference on Computer-Aided Design (ICCAD). New York, NY, USA: ACM Press, 2006, pp. 355–362.
-
(2006)
Proceedings of The 2006 International Conference on Computer-Aided Design (ICCAD)
, pp. 355-362
-
-
Murali, S.1
Meloni, P.2
Angiolini, F.3
Atienza, D.4
Carta, S.5
Benini, L.6
Micheli, G.D.7
|