-
1
-
-
33845533769
-
On-chip characterizaion of single-event transient pulsewidths
-
B. Narasimham et al., "On-chip characterizaion of single-event transient pulsewidths," IEEE Trans. Device Mater. Rel., vol. 6, no. 3, pp. 542-549, 2006.
-
(2006)
IEEE Trans. Device Mater. Rel.
, vol.6
, Issue.3
, pp. 542-549
-
-
Narasimham, B.1
-
2
-
-
2442485708
-
A fast congestion estimator for routing with bounded detours
-
Yokohama, Japan
-
L. Cheng, X. Song, G. Yang, Z. Tang, and S. Gao, "A fast congestion estimator for routing with bounded detours," in Proc. Asia South Pacific Design Automation Conf., Yokohama, Japan, 2004, pp. 666-700.
-
(2004)
Proc. Asia South Pacific Design Automation Conf.
, pp. 666-700
-
-
Cheng, L.1
Song, X.2
Yang, G.3
Tang, Z.4
Gao, S.5
-
3
-
-
50249151499
-
Device and architecture concurrent optimization for FPGA transient soft error rate
-
San Jose, CA
-
Y. Lin and L. He, "Device and architecture concurrent optimization for FPGA transient soft error rate," in Proc. IEEE/ACM Int. Conf. Comput.-Aid. Design, San Jose, CA, 2007, pp. 194-198.
-
(2007)
Proc. IEEE/ACM Int. Conf. Comput.-Aid. Design
, pp. 194-198
-
-
Lin, Y.1
He, L.2
-
4
-
-
77950562428
-
Task scheduling algorithm based on dual-Vdd dynamic reconfigurable FPGA
-
K. Xu,W. Xu, J. Shen, and X. Xu, "Task scheduling algorithm based on dual-Vdd dynamic reconfigurable FPGA," J. Zhejiang Univ., vol. 2, no. 1, pp. 300-304, 2010.
-
(2010)
J. Zhejiang Univ.
, vol.2
, Issue.1
, pp. 300-304
-
-
Xu, K.1
Xu, W.2
Shen, J.3
Xu, X.4
-
5
-
-
10944270342
-
Congestion estimation for 3-D circuit architectures
-
DOI 10.1109/TCSII.2004.838548
-
L. Cheng, W.N. N. Hung,G.Yang, and X. Song, "Congestion estimation for 3-D circuit architectures," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 51, no. 2, pp. 655-659, 2004. (Pubitemid 40009419)
-
(2004)
IEEE Transactions on Circuits and Systems II: Express Briefs
, vol.51
, Issue.12
, pp. 655-659
-
-
Cheng, L.1
Hung, W.N.N.2
Yang, G.3
Song, X.4
-
6
-
-
77951025056
-
Minimizing soft errors in tcam devices: A probabilistic approach to determining scrubbing intervals
-
S. Baeg, S. Wen, and W. Rong, "Minimizing soft errors in tcam devices: A probabilistic approach to determining scrubbing intervals," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 44, no. 7, pp. 814-822, 2010.
-
(2010)
IEEE Trans. Circuits Syst. I, Reg. Papers
, vol.44
, Issue.7
, pp. 814-822
-
-
Baeg, S.1
Wen, S.2
Rong, W.3
-
7
-
-
12344263897
-
Routability checking for three-dimensional architectures
-
DOI 10.1109/TVLSI.2004.837999
-
W. Hung, X. Song, T. Kam, L. Cheng, and G. Yang, "Routability checking for three-dimensional architectures," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 1, pp. 1371-1374, 2004. (Pubitemid 40121146)
-
(2004)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.12
, Issue.12
, pp. 1371-1374
-
-
Hung, W.N.N.1
Song, X.2
Kam, T.3
Cheng, L.4
Yang, G.5
-
8
-
-
53849144685
-
Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs
-
N.Guan, Z. Gu,Q.Deng,W.Xu, and G.Yu, "Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs," ACM Trans. Design Autom. Electron. Syst., vol. 13, no. 6, pp. 745-759, 2008.
-
(2008)
ACM Trans. Design Autom. Electron. Syst.
, vol.13
, Issue.6
, pp. 745-759
-
-
Guan, N.1
Gu, Z.2
Deng, Q.3
Xu, W.4
Yu, G.5
-
9
-
-
79957954278
-
A levelized variation modeling scheme
-
Los Angeles, CA
-
L. Cheng and P. Gupta, "A levelized variation modeling scheme," in Proc. IEEE Workshop Design Manufacturability Yield, Los Angeles, CA, 2010, pp. 13-19.
-
(2010)
Proc. IEEE Workshop Design Manufacturability Yield
, pp. 13-19
-
-
Cheng, L.1
Gupta, P.2
-
10
-
-
27844441838
-
Probabilistic estimation for routing space
-
DOI 10.1093/comjnl/bxh104
-
F. He, M. Gu, X. Song, Z. Tang, and L. Cheng, "Probabilistic estimation for routing space," Comput. J., vol. 10, no. 4, pp. 667-676, 2005. (Pubitemid 41638095)
-
(2005)
Computer Journal
, vol.48
, Issue.6
, pp. 667-676
-
-
He, F.1
Gu, M.2
Song, X.3
Tang, Z.4
Yang, G.5
Cheng, L.6
-
11
-
-
44949151062
-
Reliability analysis of large circuits using scalable techniques and tools
-
D. Bhaduri, S. K. Shukla, P. S. Graham, and M. B. Gokhale, "Reliability analysis of large circuits using scalable techniques and tools," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 4, no. 7, pp. 2247-2460, 2007.
-
(2007)
IEEE Trans. Circuits Syst. I, Reg. Papers
, vol.4
, Issue.7
, pp. 2247-2460
-
-
Bhaduri, D.1
Shukla, S.K.2
Graham, P.S.3
Gokhale, M.B.4
-
12
-
-
20544468498
-
Digital spectrum of a nonuniformly sampled two-dimensional signal and its reconstruction
-
DOI 10.1109/TIM.2005.847225
-
Y. Jeng and L. Cheng, "Digital spectrum of a nonuniformly sampled two-dimensional signal and its reconstruction," IEEE Trans. Instrum. Meas., vol. 54, no. 3, pp. 1180-1187, 2005. (Pubitemid 40843544)
-
(2005)
IEEE Transactions on Instrumentation and Measurement
, vol.54
, Issue.3
, pp. 1180-1187
-
-
Jenq, Y.-C.1
Cheng, L.2
-
13
-
-
77951622781
-
True energy-performance analysis of the MTJ-based logic-in-memory architecture (1-bit full adder)
-
F. Ren and D. Markovic, "True energy-performance analysis of the MTJ-based logic-in-memory architecture (1-bit full adder)," IEEE Trans. Electron Devices, vol. 57, no. 5, pp. 1023-1028, 2010.
-
(2010)
IEEE Trans. Electron Devices
, vol.57
, Issue.5
, pp. 1023-1028
-
-
Ren, F.1
Markovic, D.2
-
14
-
-
23844479533
-
On theoretical upper bounds for routing estimation
-
F. He, L. Cheng, G. Yang, X. Song, M. Gu, and J. Sun, "On theoretical upper bounds for routing estimation," J. Universal Comput. Sci., vol. 11, no. 5, pp. 117-122, 2005.
-
(2005)
J. Universal Comput. Sci.
, vol.11
, Issue.5
, pp. 117-122
-
-
He, F.1
Cheng, L.2
Yang, G.3
Song, X.4
Gu, M.5
Sun, J.6
-
15
-
-
67650125235
-
A probabilistic LDPC-coded fault compensation technique for reliable nanoscale computing
-
C.Windstead and S. Howard, "A probabilistic LDPC-coded fault compensation technique for reliable nanoscale computing," IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 7, pp. 484-488, 2009.
-
(2009)
IEEE Trans. Circuits Syst. II, Exp. Briefs
, vol.56
, Issue.7
, pp. 484-488
-
-
Windstead, C.1
Howard, S.2
-
16
-
-
33745952411
-
Acombinatorial congestion estimation approach with generalized detours
-
F. He,X. Song, M.Gu, L. Cheng,G.Yang, Z. Tang, and J. Sun, "Acombinatorial congestion estimation approach with generalized detours," Comput. Math. Appl., vol. 51, no. 6, pp. 232-241, 2006.
-
(2006)
Comput. Math. Appl.
, vol.51
, Issue.6
, pp. 232-241
-
-
He, F.1
Song, X.2
Gu, M.3
Cheng, L.4
Yang, G.5
Tang, Z.6
Sun, J.7
-
17
-
-
33745952411
-
A combinatorial congestion estimation approach with generalized detours
-
DOI 10.1016/j.camwa.2005.05.013, PII S0898122106000411
-
F. He,X. Song, M.Gu, L. Cheng,G.Yang, Z. Tang, and J. Sun, "Acombinatorial congestion estimation approach with generalized detours," J. Circuits, Syst., Comput., vol. 51, no. 3, pp. 1113-1126, 2010. (Pubitemid 44056206)
-
(2006)
Computers and Mathematics with Applications
, vol.51
, Issue.6-7
, pp. 1113-1126
-
-
He, F.1
Song, X.2
Gu, M.3
Cheng, L.4
Yang, G.5
Tang, Z.6
Sun, J.7
-
18
-
-
52949107504
-
An information theoretical framework for analysis and design of nanoscale fault-tolerant memories based on low-density parity-check codes
-
B. Vasic and S. K. Chilappagari, "An information theoretical framework for analysis and design of nanoscale fault-tolerant memories based on low-density parity-check codes," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 3, no. 7, pp. 2438-2446, 2007.
-
(2007)
IEEE Trans. Circuits Syst. I, Reg. Papers
, vol.3
, Issue.7
, pp. 2438-2446
-
-
Vasic, B.1
Chilappagari, S.K.2
-
19
-
-
78650874312
-
Design dependent process monitoring for back-end manufacturing cost reduction
-
San Jose, CA
-
T. B. Chan, A. Pant, L. Cheng, and P. Gupta, "Design dependent process monitoring for back-end manufacturing cost reduction," in Proc. Int. Conf. Comput. Aided Design, San Jose, CA, 2010, pp. 116-122.
-
(2010)
Proc. Int. Conf. Comput. Aided Design
, pp. 116-122
-
-
Chan, T.B.1
Pant, A.2
Cheng, L.3
Gupta, P.4
-
20
-
-
64949104463
-
Defect tolerance based on coding and series replication in transistor-logic demultiplexer circuits
-
W. Robinett et al., "Defect tolerance based on coding and series replication in transistor-logic demultiplexer circuits," IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 3, pp. 2410-2421, 2007.
-
(2007)
IEEE Trans. Circuits Syst. I, Reg. Papers
, vol.54
, Issue.3
, pp. 2410-2421
-
-
Robinett, W.1
-
21
-
-
85045723744
-
Radiation hardened FPGA technology for space applications
-
Chicago, IL
-
L. Rockett et al., "Radiation hardened FPGA technology for space applications," in Proc. Aerospace Conf., Chicago, IL, 2007, pp. 1-7.
-
(2007)
Proc. Aerospace Conf.
, pp. 1-7
-
-
Rockett, L.1
-
22
-
-
79957942370
-
-
[Online]. Available
-
[Online]. Available: http://www.actel.com/
-
-
-
-
23
-
-
57849155284
-
Robust FPGA resynthesis based on fault-tolerant Boolean matching
-
San Jose, CA Nov.
-
Y. Hu, Z. Feng, L. He, and R.Majumdar, "Robust FPGA resynthesis based on fault-tolerant Boolean matching," in Proc. ICCAD, San Jose, CA, Nov. 2008, pp. 706-713.
-
(2008)
Proc. ICCAD
, pp. 706-713
-
-
Hu, Y.1
Feng, Z.2
He, L.3
Majumdar, R.4
-
24
-
-
33748627691
-
DraXRouter: Global routing in X-architecture with dynamic resource assignment
-
1594754, Proceedings of the ASP-DAC 2006: Asia and South Pacific Design Automation Conference 2006
-
Z. Cao, T. Jing, Y. Hu, Y. shi, X. Hong, X. Hu, and G. Yan, "DraXRouter: Global routing in X-architecture with dynamic resource assignment," in Proc. Asia South Pacific Design Automation Conf., Yokohama, Japan, 2006, pp. 618-623. (Pubitemid 44375999)
-
(2006)
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC
, vol.2006
, pp. 618-623
-
-
Cao, Z.1
Jing, T.2
Hu, Y.3
Shi, Y.4
Hong, X.5
Hu, X.6
Yan, G.7
-
25
-
-
48349116471
-
A novel placement algorithm for symmetrical FPGAs
-
Guilin, China
-
W. Xu, K. Xu, and X. Xu, "A novel placement algorithm for symmetrical FPGAs," in Proc. Int. Conf. ASIC, Guilin, China, 2007, pp. 1281-1284.
-
(2007)
Proc. Int. Conf. ASIC
, pp. 1281-1284
-
-
Xu, W.1
Xu, K.2
Xu, X.3
-
26
-
-
4544322256
-
Congestion estimation for 3D routing
-
San Diego, CA
-
L. Cheng, W. N. N. Hung, G. Yang, and X. Song, "Congestion estimation for 3D routing," in Proc. Int. Symp. VLSI, San Diego, CA, 2004, pp. 239-240.
-
(2004)
Proc. Int. Symp. VLSI
, pp. 239-240
-
-
Cheng, L.1
Hung, W.N.N.2
Yang, G.3
Song, X.4
-
27
-
-
33144470738
-
SEU-induced persistent error propagation in FPGAs
-
K. Morgan et al., "SEU-induced persistent error propagation in FPGAs," IEEE Trans. Nucl. Sci., vol. 52, no. 6, pp. 2438-2445, 2006.
-
(2006)
IEEE Trans. Nucl. Sci.
, vol.52
, Issue.6
, pp. 2438-2445
-
-
Morgan, K.1
-
28
-
-
78649307557
-
Computing with uncertainty in a smart textile surface for object recognition
-
Salt Lake City, UT
-
M. Rofouei, W. Xu, and M. Sarrafzadeh, "Computing with uncertainty in a smart textile surface for object recognition," in Proc. Int. Conf. \Multisensor Fusion Integr. Intell. Syst., Salt Lake City, UT, 2010, pp. 174-179.
-
(2010)
Proc. Int. Conf. \Multisensor Fusion Integr. Intell. Syst.
, pp. 174-179
-
-
Rofouei, M.1
Xu, W.2
Sarrafzadeh, M.3
-
29
-
-
34748867707
-
SEU mitigation for SRAM-based FPGAs through dynamic partial reconfiguration
-
New York Nov.
-
C. Bolchini, D. Quarta, and M. D. Santambrogio, "SEU mitigation for SRAM-based FPGAs through dynamic partial reconfiguration," in Proc. GLSVLSI, New York, Nov. 2007, pp. 512-519.
-
(2007)
Proc. GLSVLSI
, pp. 512-519
-
-
Bolchini, C.1
Quarta, D.2
Santambrogio, M.D.3
-
30
-
-
79957961606
-
Congestion estimation for hexagonal routing
-
M. Gu, F. He, L. Cheng, X. Song, and G. Yang, "Congestion estimation for hexagonal routing," Int. J. Comput. Math., vol. 16, no. 2, pp. 323-331, 2006.
-
(2006)
Int. J. Comput. Math.
, vol.16
, Issue.2
, pp. 323-331
-
-
Gu, M.1
He, F.2
Cheng, L.3
Song, X.4
Yang, G.5
-
31
-
-
79957955722
-
A fast congestion estimator for routing with bounded detours
-
L. Cheng, X. Song, G. Yang, W. N. N. Hung, and Z. Tang, "A fast congestion estimator for routing with bounded detours," Integr., VLSI J., vol. 60, no. 11, pp. 2562-2569, 2008.
-
(2008)
Integr., VLSI J.
, vol.60
, Issue.11
, pp. 2562-2569
-
-
Cheng, L.1
Song, X.2
Yang, G.3
Hung, W.N.N.4
Tang, Z.5
-
32
-
-
70350707909
-
Statistical multilayer process space coverage for at-speed test
-
San Jose, CA
-
J. Xiong, Y. Shi, V. Zolotov, and C. Visweswariah, "Statistical multilayer process space coverage for at-speed test," in Proc. Design Autom. Conf, San Jose, CA, 2009, pp. 117-122.
-
(2009)
Proc. Design Autom. Conf
, pp. 117-122
-
-
Xiong, J.1
Shi, Y.2
Zolotov, V.3
Visweswariah, C.4
-
33
-
-
26844533823
-
Ahierarchical method for wiring congestion prediction
-
Salt Lake City, UT
-
F.He, X. Song, L. Cheng, G. Yang,Z.Tang,M. Gu, and J. Sun, "Ahierarchical method for wiring congestion prediction," in Proc. Int. Symp. VLSI, Salt Lake City, UT, 2005, pp. 16-25.
-
(2005)
Proc. Int. Symp. VLSI
, pp. 16-25
-
-
He, F.1
Song, X.2
Cheng, L.3
Yang, G.4
Tang, Z.5
Gu, M.6
Sun, J.7
-
34
-
-
77956212515
-
IPR: In-place reconfiguration for FPGA fault tolerance
-
San Jose, CA
-
Z. Feng, Y. Hu, L. He, and R. Majumdar, "IPR: In-place reconfiguration for FPGA fault tolerance," in Proc. ICCAD, San Jose, CA, 2009, pp. 242-247.
-
(2009)
Proc. ICCAD
, pp. 242-247
-
-
Feng, Z.1
Hu, Y.2
He, L.3
Majumdar, R.4
-
35
-
-
85086422566
-
Improving testability and soft-error resilience through retiming
-
San Jose, CA
-
S. Krishnaswamy, I. L. Markov, and J. P. Hayes, "Improving testability and soft-error resilience through retiming," in Proc. DAC, San Jose, CA, 2009, pp. 60-65.
-
(2009)
Proc. DAC
, pp. 60-65
-
-
Krishnaswamy, S.1
Markov, I.L.2
Hayes, J.P.3
-
36
-
-
79957934152
-
Temporally redundant latch for preventing single event disruptions in sequential integrated circuits
-
Albuquerque, NM
-
D. G. Mavis and P. H. Eaton, "Temporally redundant latch for preventing single event disruptions in sequential integrated circuits," in Proc. Int.Microelectron. Conf., Albuquerque, NM, 2002, pp. 187-200.
-
(2002)
Proc. Int.Microelectron. Conf.
, pp. 187-200
-
-
Mavis, D.G.1
Eaton, P.H.2
-
38
-
-
64949121926
-
Soft error reduction in combinational logic using gating resizing and flipflop selection
-
San Jose, CA
-
R. R. Rao et al., "Soft error reduction in combinational logic using gating resizing and flipflop selection," in Proc. ICCAD, San Jose, CA, 2006, pp. 18-25.
-
(2006)
Proc. ICCAD
, pp. 18-25
-
-
Rao, R.R.1
-
39
-
-
31344449592
-
Gate sizing to radiation harden combinational logic
-
DOI 10.1109/TCAD.2005.853696
-
Q. Zhou andM. Kartik, "Gate sizing to radiation harden combinational logic," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, pp. 155-166, Jan. 2006. (Pubitemid 43146106)
-
(2006)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.25
, Issue.1
, pp. 155-166
-
-
Zhou, Q.1
Mohanram, K.2
-
40
-
-
85165835678
-
An efficient retiming algorithm under setup and hold constraints
-
San Francisco, CA
-
C. Lin and H. Zhou, "An efficient retiming algorithm under setup and hold constraints," in Proc. DAC, San Francisco, CA, 2006, pp. 72-77.
-
(2006)
Proc. DAC
, pp. 72-77
-
-
Lin, C.1
Zhou, H.2
-
41
-
-
79957937644
-
-
[Online]. Available
-
[Online]. Available: http://www.ece.vt.edu/mhsiao/iscas89.html
-
-
-
-
42
-
-
79957959521
-
-
[Online]. Available
-
[Online]. Available: http://www.xilinx.com/
-
-
-
-
43
-
-
79957945835
-
-
[Online]. Available
-
[Online]. Available: http://www.altera.com/
-
-
-
-
44
-
-
77951181616
-
Retiming synthronous circuitry
-
C. E. Leiserson and J. B. Saxe, "Retiming synthronous circuitry," Algorithmica, vol. 10, no. 2, pp. 5-35, 1988.
-
(1988)
Algorithmica
, vol.10
, Issue.2
, pp. 5-35
-
-
Leiserson, C.E.1
Saxe, J.B.2
-
45
-
-
58849108996
-
Investigation of the propagation induced pulse broadening (PIPB) effect on single event transients in SOI and bulk inverter chains
-
Dec.
-
V. F. Carrois et al., "Investigation of the propagation induced pulse broadening (PIPB) effect on single event transients in SOI and bulk inverter chains," IEEE Trans. Nucl. Sci., vol. 55, pp. 2842-2853, Dec. 2008.
-
(2008)
IEEE Trans. Nucl. Sci.
, vol.55
, pp. 2842-2853
-
-
Carrois, V.F.1
-
46
-
-
0038721289
-
Basic mechanisms and modeling of single-event upset in digital microelectronics
-
Jun.
-
P. E. Dodd and L.W.Massengill, "Basic mechanisms and modeling of single-event upset in digital microelectronics," IEEE Trans. Nucl. Sci., vol. 50, pp. 583-602, Jun. 2003.
-
(2003)
IEEE Trans. Nucl. Sci.
, vol.50
, pp. 583-602
-
-
Dodd, P.E.1
Massengill, L.W.2
-
47
-
-
0003239428
-
Mitigating single event upsets from combinational logic
-
Chicago, IL
-
K. J. Hass, J. W. Gambles, B. Walker, and M. Zampaglione, "Mitigating single event upsets from combinational logic," in Proc. NASA Symp. VLSI Design, Chicago, IL, 1998, pp. 10-22.
-
(1998)
Proc. NASA Symp. VLSI Design
, pp. 10-22
-
-
Hass, K.J.1
Gambles, J.W.2
Walker, B.3
Zampaglione, M.4
|