-
1
-
-
0034848112
-
Route packets, not wires
-
W.J. Dally, B. Towles, Route packets, not wires, in: Proceedings of the design automation conference on-chip interconnection networks, 2001, pp.684689.
-
(2001)
Proceedings of the Design Automation Conference On-chip Interconnection Networks
, pp. 684-689
-
-
Dally, W.J.1
Towles, B.2
-
2
-
-
0036149420
-
Networks on chips: A new SoC paradigm
-
DOI 10.1109/2.976921
-
L. Benini, and G. De Micheli Networks on chips: a new SoC paradigm IEEE Computer magzine. 35 1 2002 70 78 (Pubitemid 34069383)
-
(2002)
Computer
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
3
-
-
84893687806
-
A generic architecture for on chip packet-switched interconnections
-
P. Guerrier, A. Greiner A generic architecture for on chip packet-switched interconnections, in: Proceedings of DATE conference, 2000, pp. 250256.
-
(2000)
Proceedings of DATE Conference
, pp. 250-256
-
-
Guerrier, P.1
Greiner, A.2
-
4
-
-
84893818178
-
Micro-network for SoC: Implementation of a 32-port SPIN network
-
A. Adriahantenaina, A. Greiner, Micro-network for SoC: implementation of a 32-port SPIN network in: Proceeding of DATE conference, 2003, pp. 11128.
-
(2003)
Proceeding of DATE Conference
, pp. 11128
-
-
Adriahantenaina, A.1
Greiner, A.2
-
5
-
-
63449112639
-
Concepts and implementation of the philips network-on-chip
-
J. Dielissen, A. Rdulescu, K. Goossens, E. Rijpkema,Concepts and implementation of the philips network-on-chip, in:proceedings of IP-SOC 2003 conference.
-
Proceedings of IP-SOC 2003 Conference
-
-
Dielissen, J.1
-
6
-
-
0344981523
-
Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs
-
M. DallOsso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, in: Proceedings of the 21st ICCD conference, 2003, pp. 536539.
-
(2003)
Proceedings of the 21st ICCD Conference
, pp. 536-539
-
-
Dallosso, M.1
Biccari, G.2
Giovannini, L.3
Bertozzi, D.4
Benini, L.5
-
7
-
-
3042740415
-
Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip
-
M. Millberg, E. Nilsson, R. Thid, A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, in: Proceedings of DATE conference 2004, pp. 890895.
-
(2004)
Proceedings of DATE Conference
, pp. 890-895
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Jantsch, A.4
-
9
-
-
63149129753
-
Design and management of voltage-frequency island partitioned networks-on-chip
-
U.Y. Ogras, R. Marculescu, D. Marculescu, and E.G. Jung Design and management of voltage-frequency island partitioned networks-on-chip IEEE Transactions. on Very Large Scale Integration Systems 17 3 2009 330 341
-
(2009)
IEEE Transactions. on Very Large Scale Integration Systems
, vol.17
, Issue.3
, pp. 330-341
-
-
Ogras, U.Y.1
Marculescu, R.2
Marculescu, D.3
Jung, E.G.4
-
11
-
-
78650145845
-
Dynamic voltage scheduling for real time asynchronous systems
-
M. Es Salhiene, L. Fesquet, M. Renaudin, Dynamic voltage scheduling for real time asynchronous systems, in: Proceedings of PATMOS'2002, 2002, pp 155171.
-
(2002)
Proceedings of PATMOS'2002
, pp. 155-171
-
-
Es Salhiene, M.1
Fesquet, L.2
Renaudin, M.3
-
12
-
-
84949247171
-
An asynchronous low-power 80C51 microcontroller
-
H. Van Gageldonk, K. Van Berkel, A. Peeters, D. Baumann, D. Gloor, G. Stegmann, An asynchronous low-power 80C51 microcontroller, in: Proceedings of ASYNC'98, 1998, pp. 96107.
-
(1998)
Proceedings of ASYNC'98
, pp. 96-107
-
-
Van Gageldonk, H.1
Van Berkel, K.2
Peeters, A.3
Baumann, D.4
Gloor, D.5
Stegmann, G.6
-
13
-
-
27344444925
-
A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip
-
DOI 10.1109/DATE.2005.36, 1395761, Proceedings - Design, Automation and Test in Europe, DATE '05
-
T. Bjerregaard, J. Sparsø, A router architecture for connection-oriented service guarantees in the MANGO clockless Network-on-Chip, in: Proceedings of DATE conference 2005, pp. 12261231. (Pubitemid 44172177)
-
(2005)
Proceedings -Design, Automation and Test in Europe, DATE '05
, vol.II
, pp. 1226-1231
-
-
Bjerregaard, T.1
Sparso, J.2
-
14
-
-
57949092860
-
Multisynchronous and fully asynchronous NoCs for GALS architectures
-
A. Sheibanyrad, A. Greiner, and I. Miro-Panades Multisynchronous and fully asynchronous NoCs for GALS architectures IEEE Design and Test 25 6 2008 572 580
-
(2008)
IEEE Design and Test
, vol.25
, Issue.6
, pp. 572-580
-
-
Sheibanyrad, A.1
Greiner, A.2
Miro-Panades, I.3
-
16
-
-
34548316907
-
Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture
-
DOI 10.1109/DATE.2007.364439, 4211949, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
A. Sheibanyrad, I. Miro-Panades, and A. Greiner, Systematic comparison between the asynchronous and the multi-synchronous implementations of a network on chip architecture, in: Proceedings of DATE conference 2007, pp. 10901095. (Pubitemid 47334105)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 1090-1095
-
-
Sheibanyrad, A.1
Miro Panades, I.2
Greiner, A.3
-
17
-
-
14844314436
-
An asynchronous on-chip network router with Quality-of-Service (QoS) support
-
TB3.3, Proceedings - IEEE International SOC Conference
-
T. Felicijan, S. B. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support, in: Proceedings of SOCC 2004, pp. 274277. (Pubitemid 40338417)
-
(2004)
Proceedings - IEEE International SOC Conference
, pp. 274-277
-
-
Felicijan, T.1
Furber, S.B.2
-
18
-
-
28444486004
-
An asynchronous NOC architecture providing low latency service and its multi-level design framework
-
Proceedings - 11th IEEE International Symposium on Asynchronous Circuits and Systems, ASYNC 2005
-
E. Beigne, F. Clermidy, P. Vivet, A. Clouard, M. Renaudin, An asynchronous NoC architecture providing low latency service and its multi-level design framework, in: Proceedings of the 11th ASYNC, 2005, pp. 5463. (Pubitemid 41730039)
-
(2005)
Proceedings - International Symposium on Asynchronous Circuits and Systems
, pp. 54-63
-
-
Beigne, E.1
Clermidy, F.2
Vivet, P.3
Clouard, A.4
Renaudin, M.5
-
20
-
-
0036294823
-
Power and performance evaluation of globally asynchronous locally synchronous processors
-
A. Iyer and D. Marculescu, Power and performance evaluation of globally asynchronous locally synchronous processors, in: Proceedings of ISCA, 2002, pp. 652661.
-
(2002)
Proceedings of ISCA
, pp. 652-661
-
-
Iyer, A.1
Marculescu, D.2
-
21
-
-
2942635598
-
Hiding synchronization delays in GALS processor microarchitecture
-
G. P. Semeraro et al., Hiding synchronization delays in GALS processor microarchitecture, in: Proceedings of ASYNC, 2004, pp. 159169.
-
(2004)
Proceedings of ASYNC
, pp. 159-169
-
-
Semeraro Et Al., G.P.1
-
22
-
-
34247266595
-
Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture
-
DOI 10.1145/1165573.1165586, ISLPED'06 - Proceedings of the 2006 International Symposium on Low Power Electronics and Design
-
G. Magklis, P. Chaparro, J. Gonzalez, A. Gonzalez, Independent front-end and back-end dynamic voltage scaling for a GALS microarchitecture, in: Proceedings of ISLPED 06, October 2006, pp. 4954. (Pubitemid 46609709)
-
(2006)
Proceedings of the International Symposium on Low Power Electronics and Design
, vol.2006
, pp. 49-54
-
-
Magklis, G.1
Chaparro, P.2
Gonzalez, J.3
Gonzalez, A.4
-
23
-
-
0345272496
-
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
-
G. Semeraro et al., Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in: Proceedings of ISHPC, 2002, pp. 2940.
-
(2002)
Proceedings of ISHPC
, pp. 29-40
-
-
Semeraro Et Al., G.1
-
25
-
-
28444449827
-
An asynchronous router for multiple service levels Networks on Chip
-
Proceedings - 11th IEEE International Symposium on Asynchronous Circuits and Systems, ASYNC 2005
-
R. Dobkin, V. Vishnyakov, E. Friedman, R. Ginosar, An asynchronous router for multiple service levels networks on chip, in: Proceedings of ASYNC, 2005, pp. 4453. (Pubitemid 41730038)
-
(2005)
Proceedings - International Symposium on Asynchronous Circuits and Systems
, pp. 44-53
-
-
Rostislav, D.1
Vishnyakov, V.2
Friedman, E.3
Ginosar, R.4
-
26
-
-
44149123610
-
Dynamic voltage and frequency scaling architecture for units integration within a GALS NoC
-
DOI 10.1109/NOCS.2008.4492732, 4492732, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
-
E. Beigné, F. Clermidy, S. Miermont, P. Vivet, Dynamic voltage and frequency scaling architecture for units integration within a GALS NoC, in: Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, 2008, pp. 129138. (Pubitemid 351715037)
-
(2008)
Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
, pp. 129-138
-
-
Beigne, E.1
Clermidy, F.2
Miermont, S.3
Vivet, P.4
-
27
-
-
84955452760
-
Dynamic voltage scaling with links for power optimization of interconnection networks
-
L. Shang, L.-S. Peh, N.K. Jha, Dynamic voltage scaling with links for power optimization of interconnection networks, in: Proceedings of the ninth International Symposium on High-Performance Computer Architecture, 2003, pp. 91102.
-
(2003)
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture
, pp. 91-102
-
-
Shang, L.1
Peh, L.-S.2
Jha, N.K.3
-
28
-
-
68049105946
-
A variable frequency link for a power-aware network-on-chip (NoC)
-
S.E. Lee, and N. Bagherzadeh A variable frequency link for a power-aware network-on-chip (NoC) Integration, The VLSI Journal 42 4 2009 479 485
-
(2009)
Integration, the VLSI Journal
, vol.42
, Issue.4
, pp. 479-485
-
-
Lee, S.E.1
Bagherzadeh, N.2
-
29
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
February
-
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks, System level analysis of fast, per-core DVFS using on-chip switching regulators, in: Proceedings of the International Symposium on High-Performance Computer Architecture, February 2008, pp. 123134.
-
(2008)
Proceedings of the International Symposium on High-Performance Computer Architecture
, pp. 123-134
-
-
Kim, W.1
Gupta, M.2
Wei, G.-Y.3
Brooks, D.4
-
30
-
-
18744371945
-
Area-efficient linear regulator with ultra-fast load regulation
-
P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, and S. Borkar Area-efficient linear regulator with ultra-fast load regulation IEEE Journal of Solid-State Circuits 40 4 2005 933 940
-
(2005)
IEEE Journal of Solid-State Circuits
, vol.40
, Issue.4
, pp. 933-940
-
-
Hazucha, P.1
Karnik, T.2
Bloechel, B.A.3
Parsons, C.4
Finan, D.5
Borkar, S.6
-
31
-
-
37849024920
-
A power supply selector for energy- and area-efficient local dynamic voltage scaling
-
Göteborg, Sweden
-
S. Miermont, P. Vivet, and M. Renaudin, A power supply selector for energy- and area-efficient local dynamic voltage scaling, in: Proceedings of PATMOS'2007, Göteborg, Sweden, 2007, pp.556565.
-
(2007)
Proceedings of PATMOS'2007
, pp. 556-565
-
-
Miermont, S.1
Vivet, P.2
Renaudin, M.3
-
32
-
-
11144309034
-
An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes
-
14.2, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
B. Gorjiara, N. Bagherzadeh, P. Chou, An efficient voltage scaling algorithm for complex SoCs with few number of voltage modes, in: Proceedings of ISLPED, 2004, pp. 381386. (Pubitemid 40454745)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 381-386
-
-
Gorjiara, B.1
Bagherzadeh, N.2
Chou, P.3
-
33
-
-
63449130720
-
A 167-processor computational platform in 65 nm CMOS
-
D.N. Truong, W.H. Cheng, T. Mohsenin, Z. Yu, A.T. Jacobson, G Landge, M.J. Meeuwsen, C Watnik, A.T. Tran, Z. Xiao, E.W Work, J.W. Webb, P.V. Mejia, and B.M. Baas A 167-processor computational platform in 65 nm CMOS IEEE Journal of Solid-State Circuits 44 4 2009 1130 1144
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.4
, pp. 1130-1144
-
-
Truong, D.N.1
Cheng, W.H.2
Mohsenin, T.3
Yu, Z.4
Jacobson, A.T.5
Landge, G.6
Meeuwsen, M.J.7
Watnik, C.8
Tran, A.T.9
Xiao, Z.10
Work, E.W.11
Webb, J.W.12
Mejia, P.V.13
Baas, B.M.14
-
34
-
-
84886709991
-
VSV: L2-miss-driven variable supply-voltage scaling for low power
-
H. Li, C.-Y. Cher, T. Vijaykumar, and K. Roy, VSV: L2-miss-driven variable supply-voltage scaling for low power, in: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003, pp. 1928.
-
(2003)
Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 19-28
-
-
Li, H.1
Cher, C.-Y.2
Vijaykumar, T.3
Roy, K.4
-
35
-
-
77957907793
-
Energy/throughput trade-off in a fully asynchronous NoC for GALS-based MPSoC architectures
-
A. Rahimi, M.E. Salehi, S. Mohammadi, S.M. Fakhraie, A. Azarpeyvand, Energy/throughput trade-off in a fully asynchronous NoC for GALS-based MPSoC architectures, in: Proceedings of fifth International Conference on Design & Technology of Integrated Systems in Nanoscale era (DTIS), 2010, pp. 16.
-
(2010)
Proceedings of Fifth International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS)
, pp. 1-6
-
-
Rahimi, A.1
Salehi, M.E.2
Mohammadi, S.3
Fakhraie, S.M.4
Azarpeyvand, A.5
-
36
-
-
33750087295
-
Two efficient synchronous ⇔ asynchronous converters well-suited for network on chip in GALS architectures
-
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation - 16th International Workshop, PATMOS 2006, Proceedings
-
A. Sheibanyrad and A. Greiner, Two efficient synchronous↔ asynchronous converters well-suited for network on chip in GALS architectures, in: Proceedings of the Power and Timing Modeling, Optimization and Simulation (PATMOS 06),conference on Integrated Circuit and System Design LNCS 4148, Springer Berlin, 2006, pp. 191202. (Pubitemid 44576864)
-
(2006)
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)
, vol.4148
, pp. 191-202
-
-
Sheibanyrad, A.1
Greiner, A.2
-
38
-
-
34547192206
-
Automatic phase detection for stochastic on-chip traffic generation
-
DOI 10.1145/1176254.1176277, CODES+ISSS 2006: 4th International Conference on Hardware Software Codesign and System Synthesis
-
Antoine Scherrer, Antoine Fraboulet, and Tanguy Risset, Automatic phase detection for stochastic on-chip traffic generation. in: Proceedings of the fourth International conference on Hardware/software Codesign and System Synthesis (CODESISSS 06), 2006, pp. 8893. (Pubitemid 47113019)
-
(2006)
CODES+ISSS 2006: Proceedings of the 4th International Conference on Hardware Software Codesign and System Synthesis
, pp. 88-93
-
-
Scherrer, A.1
Fraboulet, A.2
Risset, T.3
-
39
-
-
34547291110
-
Quantum-like effects in network-on-chip buffers behavior
-
DOI 10.1109/DAC.2007.375166, 4261185, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
Paul Bogdan and Radu Marculescu, Quantum-like effects in network-on-chip buffers behavior, in: Proceedings of the 44th Annual Design Automation Conference (DAC), 2007, pp. 266267. (Pubitemid 47129967)
-
(2007)
Proceedings - Design Automation Conference
, pp. 266-267
-
-
Bogdan, P.1
Marculescu, R.2
-
41
-
-
77955118831
-
QuaLe: A quantum-leap inspired model for non-stationary analysis of NoC traffic in chip multi-processors
-
P. Bogdan, M. Kas, R. Marculescu, O. Mutlu, QuaLe: A quantum-leap inspired model for non-stationary analysis of NoC traffic in chip multi-processors, in: Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, 2010, pp. 241248.
-
(2010)
Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
, pp. 241-248
-
-
Bogdan, P.1
Kas, M.2
Marculescu, R.3
Mutlu, O.4
-
42
-
-
47649122727
-
High-level modeling approach for analyzing the effects of traffic models on power and throughput in mesh-based NoCs
-
S. Koohi, M. Mirza-Aghatabar, S. Hessabi, M. Pedram, High-level modeling approach for analyzing the effects of traffic models on power and throughput in mesh-based NoCs, in: Proceedings of the 21st International Conference on VLSI Design, 2008, pp. 415420.
-
(2008)
Proceedings of the 21st International Conference on VLSI Design
, pp. 415-420
-
-
Koohi, S.1
Mirza-Aghatabar, M.2
Hessabi, S.3
Pedram, M.4
-
45
-
-
77957903796
-
A high throughput low power FIFO used for GALS NoC buffers
-
M. Fattah, A. Manian, A. Rahimi, S. Mohammadi, A high throughput low power FIFO used for GALS NoC buffers, in: Proceedings of the IEEE Annual Symposium on VLSI (ISVLSI), 2010, pp. 333338.
-
(2010)
Proceedings of the IEEE Annual Symposium on VLSI (ISVLSI)
, pp. 333-338
-
-
Fattah, M.1
Manian, A.2
Rahimi, A.3
Mohammadi, S.4
|