-
1
-
-
28444483117
-
The soft error problem: An architectural perspective
-
IEEE Computer Society
-
S. S. Mukherjee, J. Emer, and S. K. Reinhardt, "The soft error problem: An architectural perspective," in Proceedings of the 11th International Symposium on High-Performance Computer Architecture. IEEE Computer Society, 2005, pp. 243-247.
-
(2005)
Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 243-247
-
-
Mukherjee, S.S.1
Emer, J.2
Reinhardt, S.K.3
-
2
-
-
33846118079
-
Designing reliable systems from unreliable components: The challenges of transistor variability and degradation
-
S. Borkar, "Designing reliable systems from unreliable components: the challenges of transistor variability and degradation," Micro, IEEE, vol. 25, no. 6, pp. 10-16, 2005.
-
(2005)
Micro, IEEE
, vol.25
, Issue.6
, pp. 10-16
-
-
Borkar, S.1
-
3
-
-
37549010759
-
Circuit failure prediction and its application to transistor aging
-
IEEE Computer Society
-
M. Agarwal, B. C. Paul, M. Zhang, and S. Mitra, "Circuit failure prediction and its application to transistor aging," in Proceedings of the 25th IEEE VLSI Test Symmposium. IEEE Computer Society, 2007, pp. 277-286.
-
(2007)
Proceedings of the 25th IEEE VLSI Test Symmposium
, pp. 277-286
-
-
Agarwal, M.1
Paul, B.C.2
Zhang, M.3
Mitra, S.4
-
4
-
-
77953106930
-
Vision for cross-layer optimization to address the dual challenges of energy and reliability
-
A. DeHon, H. M. Quinn, and N. P. Carter, "Vision for cross-layer optimization to address the dual challenges of energy and reliability," in Design and Test in Europe (DATE), 2010.
-
(2010)
Design and Test in Europe (DATE)
-
-
DeHon, A.1
Quinn, H.M.2
Carter, N.P.3
-
5
-
-
4544296705
-
The use of triple-modular redundancy to improve computer reliability
-
R. Lyons and W. Vanderkulk, "The use of triple-modular redundancy to improve computer reliability," IBM Journal of Research and Development, vol. 6, no. 2, pp. 200-209, 1962.
-
(1962)
IBM Journal of Research and Development
, vol.6
, Issue.2
, pp. 200-209
-
-
Lyons, R.1
Vanderkulk, W.2
-
6
-
-
0029763658
-
Triple-triple redundant 777 primary flight computer
-
vol.1
-
Y. C. Yeh, "Triple-triple redundant 777 primary flight computer," in Aerospace Applications Conference, 1996. Proceedings., 1996 IEEE, vol. 1, 1996, pp. 293-307 vol.1.
-
(1996)
Aerospace Applications Conference, 1996. Proceedings., 1996 IEEE
, vol.1
, pp. 293-307
-
-
Yeh, Y.C.1
-
7
-
-
0033726332
-
Transient fault detection via simultaneous multithreading
-
S. K. Reinhardt and S. S. Mukherjee, "Transient fault detection via simultaneous multithreading," SIGARCH Comput. Archit. News, vol. 28, no. 2, pp. 25-36, 2000.
-
(2000)
SIGARCH Comput. Archit. News
, vol.28
, Issue.2
, pp. 25-36
-
-
Reinhardt, S.K.1
Mukherjee, S.S.2
-
8
-
-
0035691556
-
Dual use of superscalar datapath for transient-fault detection and recovery
-
Austin, Texas: IEEE Computer Society
-
J. Ray, J. C. Hoe, and B. Falsafi, "Dual use of superscalar datapath for transient-fault detection and recovery,"in Proceedings ofthe 34th annual ACM/IEEE International Symposium on Microarchitecture. Austin, Texas: IEEE Computer Society, 2001, pp. 214-224.
-
(2001)
Proceedings Ofthe 34th Annual ACM/IEEE International Symposium on Microarchitecture
, pp. 214-224
-
-
Ray, J.1
Hoe, J.C.2
Falsafi, B.3
-
10
-
-
0036287327
-
Detailed design and evaluation of redundant multithreading alternatives
-
S. S. Mukherjee, M. Kontz, and S. K. Reinhardt, "Detailed design and evaluation of redundant multithreading alternatives," SIGARCH Comput. Archit. News, vol. 30, no. 2, pp. 99-110, 2002.
-
(2002)
SIGARCH Comput. Archit. News
, vol.30
, Issue.2
, pp. 99-110
-
-
Mukherjee, S.S.1
Kontz, M.2
Reinhardt, S.K.3
-
12
-
-
34548212768
-
Power efficient approaches to redundant multithreading
-
N. Madan and R. Balasubramonian, "Power efficient approaches to redundant multithreading," Parallel and Distributed Systems, IEEE Transactions on, vol. 18, no. 8, pp. 1066-1079, 2007.
-
(2007)
Parallel and Distributed Systems, IEEE Transactions on
, vol.18
, Issue.8
, pp. 1066-1079
-
-
Madan, N.1
Balasubramonian, R.2
-
13
-
-
34147151677
-
Power-efficient error tolerance in chip multiprocessors
-
M. W. Rashid, E. J. Tan, M. C. Huang, and D. H. Albonesi, "Power-efficient error tolerance in chip multiprocessors," Micro, IEEE, vol. 25, no. 6, pp. 60-70, 2005.
-
(2005)
Micro, IEEE
, vol.25
, Issue.6
, pp. 60-70
-
-
Rashid, M.W.1
Tan, E.J.2
Huang, M.C.3
Albonesi, D.H.4
-
14
-
-
0015160450
-
Arithmetic error codes: Cost and effectiveness studies for application in digital system design
-
A. Avizienis, "Arithmetic error codes: Cost and effectiveness studies for application in digital system design," IEEE Trans. Comput., vol. 20, no. 11, pp. 1322-1331, 1971.
-
(1971)
IEEE Trans. Comput.
, vol.20
, Issue.11
, pp. 1322-1331
-
-
Avizienis, A.1
-
15
-
-
34250777043
-
Radiation-induced soft error rates of advanced CMOS bulk devices
-
N. Seifert, P. Slankard, M. Kirsch, B. Narasimham, V. Zia, C. Brookreson, A. Yo, S. Mitra, B. Gill, and J. Maiz, "Radiation-induced soft error rates of advanced CMOS bulk devices," in Reliability Physics Symposium Proceedings, 2006. 44th Annual., IEEE International, 2006, pp.217-225.
-
Reliability Physics Symposium Proceedings, 2006. 44th Annual., IEEE International, 2006
, pp. 217-225
-
-
Seifert, N.1
Slankard, P.2
Kirsch, M.3
Narasimham, B.4
Zia, V.5
Brookreson, C.6
Yo, A.7
Mitra, S.8
Gill, B.9
Maiz, J.10
-
16
-
-
47349100793
-
Multi-bit error tolerant caches using two-dimensional error coding
-
IEEE Computer Society
-
J. Kim, N. Hardavellas, K. Mai, B. Falsafi, and J. Hoe, "Multi-bit error tolerant caches using two-dimensional error coding," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007, pp. 197-209.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 197-209
-
-
Kim, J.1
Hardavellas, N.2
Mai, K.3
Falsafi, B.4
Hoe, J.5
-
17
-
-
1842582489
-
Making typical silicon matter with Razor
-
T. Austin, D. Blaauw, T. Mudge, and K. Flautner, "Making typical silicon matter with Razor," Computer, vol. 37, no. 3, pp. 57-65, 2004.
-
(2004)
Computer
, vol.37
, Issue.3
, pp. 57-65
-
-
Austin, T.1
Blaauw, D.2
Mudge, T.3
Flautner, K.4
-
18
-
-
70350712950
-
Circuit techniques for dynamic variation tolerance
-
San Francisco, California: ACM
-
K. Bowman, J. Tschanz, C. Wilkerson, S.-L. Lu, T. Karnik, V. De, and S. Borkar, "Circuit techniques for dynamic variation tolerance," in Proceedings of the 46th Annual Design Automation Conference. San Francisco, California: ACM, 2009, pp. 4-7.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference
, pp. 4-7
-
-
Bowman, K.1
Tschanz, J.2
Wilkerson, C.3
Lu, S.-L.4
Karnik, T.5
De, V.6
Borkar, S.7
-
19
-
-
47349086635
-
Penelope: The NBTI-aware processor
-
IEEE Computer Society
-
J. Abella, X. Vera, and A. Gonzalez, "Penelope: The NBTI-aware processor," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007, pp. 85-96.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 85-96
-
-
Abella, J.1
Vera, X.2
Gonzalez, A.3
-
20
-
-
47249158717
-
Self-calibrating online wearout detection
-
IEEE Computer Society
-
J. Blome, S. Feng, S. Gupta, and S. Mahlke, "Self-calibrating online wearout detection," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007, pp. 109-122.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 109-122
-
-
Blome, J.1
Feng, S.2
Gupta, S.3
Mahlke, S.4
-
21
-
-
0021439162
-
Algorithm-based fault tolerance for matrix operations
-
K.-H. Huang and J. A. Abraham, "Algorithm-based fault tolerance for matrix operations," IEEE Trans. Comput., vol. 33, no. 6, pp. 518-528, 1984.
-
(1984)
IEEE Trans. Comput.
, vol.33
, Issue.6
, pp. 518-528
-
-
Huang, K.-H.1
Abraham, J.A.2
-
23
-
-
53349128424
-
Using likely program invariants to detect hardware errors
-
S. K. Sahoo, L. Man-Lap, P. Ramachandran, S. V. Adve, V. S. Adve, and Z. Yuanyuan, "Using likely program invariants to detect hardware errors," in Proceedings of the 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN 2008), 2008, pp. 70-79.
-
Proceedings of the 2008 IEEE International Conference on Dependable Systems and Networks with FTCS and DCC (DSN 2008), 2008
, pp. 70-79
-
-
Sahoo, S.K.1
Man-Lap, L.2
Ramachandran, P.3
Adve, S.V.4
Adve, V.S.5
Yuanyuan, Z.6
-
24
-
-
0036472442
-
ED4I: Error detection by diverse data and duplicated instructions
-
Feb
-
N. Oh, S. Mitra, and E. McCluskey, "ED4I: error detection by diverse data and duplicated instructions," Computers, IEEE Transactions on, vol. 51, no. 2, pp. 180-199, Feb 2002.
-
(2002)
Computers, IEEE Transactions on
, vol.51
, Issue.2
, pp. 180-199
-
-
Oh, N.1
Mitra, S.2
McCluskey, E.3
-
25
-
-
0036507790
-
Error detection by duplicated instructions in super-scalar processors
-
Mar
-
N. Oh, P. Shirvani, and E. McCluskey, "Error detection by duplicated instructions in super-scalar processors," Reliability, IEEE Transactions on, vol. 51, no. 1, pp. 63-75, Mar 2002.
-
(2002)
Reliability, IEEE Transactions on
, vol.51
, Issue.1
, pp. 63-75
-
-
Oh, N.1
Shirvani, P.2
McCluskey, E.3
-
26
-
-
85019407607
-
Software-controlled fault tolerance
-
G. A. Reis, J. Chang, N. Vachharajani, R. Rangan, D. I. August, and S. S. Mukherjee, "Software-controlled fault tolerance," ACM Trans. Archit. Code Optim., vol. 2, no. 4, pp. 366-396,2005.
-
(2005)
ACM Trans. Archit. Code Optim.
, vol.2
, Issue.4
, pp. 366-396
-
-
Reis, G.A.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.I.5
Mukherjee, S.S.6
-
27
-
-
0020153883
-
Watchdog processors and structural integrity checking
-
July
-
D. Lu, "Watchdog processors and structural integrity checking," Computers, IEEE Transactions on, vol. C-31, no. 7, pp. 681-685, July 1982.
-
(1982)
Computers, IEEE Transactions on
, vol.C-31
, Issue.7
, pp. 681-685
-
-
Lu, D.1
-
28
-
-
41349091201
-
Argus: Low-cost, comprehensive error detection in simple cores
-
IEEE Computer Society
-
A. Meixner, M. E. Bauer, and D. Sorin, "Argus: Low-cost, comprehensive error detection in simple cores," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007, pp. 210-222.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 210-222
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.3
-
29
-
-
81355162831
-
Low-cost online fault detection using control flow assertions
-
R. Venkatasubramanian, J. P. Hayes, and B. T. Murray, "Low-cost online fault detection using control flow assertions," in On-Line Testing Symposium, 2003. IOLTS 2003. 9th IEEE, 2003, pp. 137-143.
-
On-Line Testing Symposium, 2003. IOLTS 2003. 9th IEEE, 2003
, pp. 137-143
-
-
Venkatasubramanian, R.1
Hayes, J.P.2
Murray, B.T.3
-
30
-
-
84964978136
-
Soft-error detection using control flow assertions
-
O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, and M. Violante, "Soft-error detection using control flow assertions," in Defect and Fault Tolerance in VLSI Systems, 2003. Proceedings. 18th IEEE International Symposium on, 2003, pp. 581-588.
-
Defect and Fault Tolerance in VLSI Systems, 2003. Proceedings. 18th IEEE International Symposium On, 2003
, pp. 581-588
-
-
Goloubeva, O.1
Rebaudengo, M.2
Sonza Reorda, M.3
Violante, M.4
-
31
-
-
46749109635
-
Automated derivation of application-aware error detectors using static analysis
-
IEEE Computer Society
-
K. Pattabiraman, Z. Kalbarczyk, and R. K. Iyer, "Automated derivation of application-aware error detectors using static analysis," in Proceedings of the 13th IEEE International On-Line Testing Symposium. IEEE Computer Society, 2007, pp. 211-216.
-
(2007)
Proceedings of the 13th IEEE International On-Line Testing Symposium
, pp. 211-216
-
-
Pattabiraman, K.1
Kalbarczyk, Z.2
Iyer, R.K.3
-
32
-
-
0028757145
-
On-line delay testing of digital circuits
-
P. Franco and E. McCluskey, "On-line delay testing of digital circuits," in VLSI Test Symposium, 1994. Proceedings., 12th IEEE, Apr 1994, pp. 167-173.
-
VLSI Test Symposium, 1994. Proceedings., 12th IEEE, Apr 1994
, pp. 167-173
-
-
Franco, P.1
McCluskey, E.2
-
33
-
-
34547457076
-
Ultra low-cost defect protection for microprocessor pipelines
-
San Jose, California, USA: ACM
-
S. Shyam, K. Constantinides, S. Phadke, V. Bertacco, and T. Austin, "Ultra low-cost defect protection for microprocessor pipelines," in Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems. San Jose, California, USA: ACM, 2006, pp. 73-82.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 73-82
-
-
Shyam, S.1
Constantinides, K.2
Phadke, S.3
Bertacco, V.4
Austin, T.5
-
34
-
-
47349110547
-
Software-based online detection of hardware defects: Mechanisms, architectural support, and evaluation
-
IEEE Computer Society
-
K. Constantinides, O. Mutlu, T. Austin, and V. Bertacco, "Software-based online detection of hardware defects: Mechanisms, architectural support, and evaluation," in Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007, pp. 97-108.
-
(2007)
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 97-108
-
-
Constantinides, K.1
Mutlu, O.2
Austin, T.3
Bertacco, V.4
-
35
-
-
51549119587
-
IFRA: Instruction footprint recording and analysis for post-silicon bug localization in processors
-
Anaheim, California: ACM
-
S.-B. Park and S. Mitra, "IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors," in Proceedings of the 45th annual Design Automation Conference. Anaheim, California: ACM, 2008, pp. 373-378.
-
(2008)
Proceedings of the 45th Annual Design Automation Conference
, pp. 373-378
-
-
Park, S.-B.1
Mitra, S.2
-
36
-
-
73249117434
-
Overcoming early-life failure and aging for robust systems
-
Y. Li, Y. M. Kim, E. Mintarno, D. S. Gardner, and S. Mitra, "Overcoming early-life failure and aging for robust systems," Design & Test of Computers, IEEE, vol. 26, no. 6, pp. 28-39, 2009.
-
(2009)
Design & Test of Computers, IEEE
, vol.26
, Issue.6
, pp. 28-39
-
-
Li, Y.1
Kim, Y.M.2
Mintarno, E.3
Gardner, D.S.4
Mitra, S.5
-
37
-
-
33846595665
-
Sequential element design with built-in soft error resilience
-
M. Zhang, S. Mitra, T. M. Mak, N. Seifert, N. J. Wang, Q. Shi, K. S. Kim, N. R. Shanbhag, and S. J. Patel, "Sequential element design with built-in soft error resilience," IEEE Trans. Very Large Scale Integr. Syst., vol. 14, no. 12, pp. 1368-1378, 2006.
-
(2006)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.14
, Issue.12
, pp. 1368-1378
-
-
Zhang, M.1
Mitra, S.2
Mak, T.M.3
Seifert, N.4
Wang, N.J.5
Shi, Q.6
Kim, K.S.7
Shanbhag, N.R.8
Patel, S.J.9
-
38
-
-
52649108802
-
Trading off cache capacity for reliability to enable low voltage operation
-
C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu, "Trading off cache capacity for reliability to enable low voltage operation," SIGARCH Comput. Archit. News (ISCA 2008), vol. 36, no. 3, pp. 203-214, 2008.
-
(2008)
SIGARCH Comput. Archit. News (ISCA 2008)
, vol.36
, Issue.3
, pp. 203-214
-
-
Wilkerson, C.1
Gao, H.2
Alameldeen, A.R.3
Chishti, Z.4
Khellah, M.5
Lu, S.-L.6
-
39
-
-
70350721929
-
Vicis: A reliable network for unreliable silicon
-
San Francisco, California: ACM
-
D. Fick, A. DeOrio, J. Hu, V. Bertacco, D. Blaauw, and D. Sylvester, "Vicis: a reliable network for unreliable silicon," in Proceedings of the 46th Annual Design Automation Conference. San Francisco, California: ACM, 2009, pp. 812-817.
-
(2009)
Proceedings of the 46th Annual Design Automation Conference
, pp. 812-817
-
-
Fick, D.1
DeOrio, A.2
Hu, J.3
Bertacco, V.4
Blaauw, D.5
Sylvester, D.6
-
41
-
-
33749413197
-
A mechanism for online diagnosis of hard faults in microprocessors
-
Barcelona, Spain: IEEE Computer Society
-
F. A. Bower, D. J. Sorin, and S. Ozev, "A mechanism for online diagnosis of hard faults in microprocessors," in Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture. Barcelona, Spain: IEEE Computer Society, 2005, pp. 197-208.
-
(2005)
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 197-208
-
-
Bower, F.A.1
Sorin, D.J.2
Ozev, S.3
-
43
-
-
70450271056
-
Architectural core salvaging in a multi-core processor for hard-error tolerance
-
Austin, TX, USA: ACM
-
M. D. Powell, A. Biswas, S. Gupta, and S. S. Mukherjee, "Architectural core salvaging in a multi-core processor for hard-error tolerance," in Proceedings of the 36th annual International Symposium on Computer Architecture. Austin, TX, USA: ACM, 2009, pp. 93-104.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture
, pp. 93-104
-
-
Powell, M.D.1
Biswas, A.2
Gupta, S.3
Mukherjee, S.S.4
-
44
-
-
35348905767
-
Configurable isolation: Building high availability systems with commodity multi-core processors
-
N. Aggarwal, P. Ranganathan, N. P. Jouppi, and J. E. Smith, "Configurable isolation: building high availability systems with commodity multi-core processors," SIGARCH Comput. Archit. News (ISCA 2007), vol. 35, no. 2, pp. 470-481, 2007.
-
(2007)
SIGARCH Comput. Archit. News (ISCA 2007)
, vol.35
, Issue.2
, pp. 470-481
-
-
Aggarwal, N.1
Ranganathan, P.2
Jouppi, N.P.3
Smith, J.E.4
-
45
-
-
44849143703
-
Fault-tolerant design of the IBM Power6 microprocessor
-
March-April
-
K. Reick, P. Sanda, S. Swaney, J. Kellington, M. Mack, M. Floyd, and D. Henderson, "Fault-tolerant design of the IBM Power6 microprocessor," Micro, IEEE, vol. 28, no. 2, pp. 30-38, March-April 2008.
-
(2008)
Micro, IEEE
, vol.28
, Issue.2
, pp. 30-38
-
-
Reick, K.1
Sanda, P.2
Swaney, S.3
Kellington, J.4
Mack, M.5
Floyd, M.6
Henderson, D.7
-
47
-
-
0002067202
-
Libckpt: Transparent checkpointing under UNIX
-
New Orleans, LA
-
J. S. Planck, M. Beck, G. Kingsley, and K. Li, "Libckpt: Transparent checkpointing under UNIX," in Usenix, New Orleans, LA, 1995, pp. 213-223.
-
(1995)
Usenix
, pp. 213-223
-
-
Planck, J.S.1
Beck, M.2
Kingsley, G.3
Li, K.4
-
49
-
-
0036290620
-
ReVive: Cost-effective architectural support for rollback recovery in shared-memory multiprocessors
-
M. Prvulovic, Z. Zheng, and J. Torrellas, "ReVive: cost-effective architectural support for rollback recovery in shared-memory multiprocessors," in Computer Architecture, 2002. Proceedings. 29th Annual International Symposium on, 2002, pp. 111-122.
-
Computer Architecture, 2002. Proceedings. 29th Annual International Symposium On, 2002
, pp. 111-122
-
-
Prvulovic, M.1
Zheng, Z.2
Torrellas, J.3
-
50
-
-
0036292677
-
SafetyNet: Improving the availability of shared memory multiprocessors with global checkpoint/recovery
-
D. J. Sorin, M. M. K. Martin, M. D. Hill, and D. A. Wood, "SafetyNet: improving the availability of shared memory multiprocessors with global checkpoint/recovery," in Computer Architecture, 2002. Proceedings. 29th Annual International Symposium on, 2002, pp. 123-134.
-
Computer Architecture, 2002. Proceedings. 29th Annual International Symposium On, 2002
, pp. 123-134
-
-
Sorin, D.J.1
Martin, M.M.K.2
Hill, M.D.3
Wood, D.A.4
-
51
-
-
56749096838
-
Extending stability beyond CPU millennium: A micron-scale atomistic simulation of Kelvin-Helmholtz instability
-
Reno, Nevada: ACM
-
J. N. Glosli, D. F. Richards, K. J. Caspersen, R. E. Rudd, J. A. Gunnels, and F. H. Streitz, "Extending stability beyond CPU millennium: a micron-scale atomistic simulation of Kelvin-Helmholtz instability," in Proceedings of the 2007 ACM/IEEE conference on Supercomputing. Reno, Nevada: ACM, 2007, pp. 1-11.
-
(2007)
Proceedings of the 2007 ACM/IEEE Conference on Supercomputing
, pp. 1-11
-
-
Glosli, J.N.1
Richards, D.F.2
Caspersen, K.J.3
Rudd, R.E.4
Gunnels, J.A.5
Streitz, F.H.6
|