메뉴 건너뛰기




Volumn , Issue , 2005, Pages 30-39

ReStore: Symptom based soft error detection in microprocessors

Author keywords

[No Author keywords available]

Indexed keywords

DEVICE SCALING; PARITY PROTECTION; PIPELINE STRUCTURES; SOFT ERRORS;

EID: 27544488480     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/DSN.2005.82     Document Type: Conference Paper
Times cited : (86)

References (30)
  • 1
    • 84944392430 scopus 로고    scopus 로고
    • Checkpoint processing and recovery: Towards scalable large instruction window processors
    • Dec.
    • H. Akkary, R. Rajwar, and S. T. Srinivasan. Checkpoint processing and recovery: Towards scalable large instruction window processors. In MICRO-36, Dec. 2003.
    • (2003) MICRO-36
    • Akkary, H.1    Rajwar, R.2    Srinivasan, S.T.3
  • 4
    • 0030379651 scopus 로고    scopus 로고
    • Incorporating fault tolerance in superscalar processors
    • Dec.
    • M. Franklin. Incorporating fault tolerance in superscalar processors. In Proceedings of High Performance Computing, pages 301-306, Dec. 1996.
    • (1996) Proceedings of High Performance Computing , pp. 301-306
    • Franklin, M.1
  • 5
    • 0003278283 scopus 로고    scopus 로고
    • The microarchitecture of the pentium 4 processor
    • Jan.
    • G. Hinton et al. The Microarchitecture of the Pentium 4 Processor. Intel Technology Journal, Jan. 2001.
    • (2001) Intel Technology Journal
    • Hinton, G.1
  • 6
    • 0036922117 scopus 로고    scopus 로고
    • A portable and fault-tolerant microprocessor based on the SPARC V8 architecture
    • Sept.
    • J. Gaisler. A portable and fault-tolerant microprocessor based on the SPARC V8 architecture. In DSN-2002, Sept. 2002.
    • (2002) DSN-2002
    • Gaisler, J.1
  • 7
    • 0031594013 scopus 로고    scopus 로고
    • Confidence estimation for speculation control
    • June
    • D. Grunwald, A. Klauser, S. Manne, and A. Pleszkun. Confidence estimation for speculation control. In ISCA-25, pages 122-131, June 1998.
    • (1998) ISCA-25 , pp. 122-131
    • Grunwald, D.1    Klauser, A.2    Manne, S.3    Pleszkun, A.4
  • 8
    • 4544372804 scopus 로고    scopus 로고
    • Error sensitivity of the linux kernel executing on powerpc g4 and pentium 4 processors
    • June
    • W. Gu, K. Kalbarczyk, and R. K. Iyer. Error sensitivity of the linux kernel executing on powerpc g4 and pentium 4 processors. In DSN-2004, June 2004.
    • (2004) DSN-2004
    • Gu, W.1    Kalbarczyk, K.2    Iyer, R.K.3
  • 9
    • 1542359963 scopus 로고    scopus 로고
    • Characterization of linux kernel behavior under errors
    • June
    • W. Gu, K. Kalbarczyk, R. K. Iyer, and Z. Yang. Characterization of linux kernel behavior under errors. In DSN-2003, June 2003.
    • (2003) DSN-2003
    • Gu, W.1    Kalbarczyk, K.2    Iyer, R.K.3    Yang, Z.4
  • 10
    • 27544474841 scopus 로고    scopus 로고
    • A 1.3 GHz fifth generation SPARC64 microprocessor
    • June
    • H. Ando et al. A 1.3 GHz fifth generation SPARC64 microprocessor. In Design Automation Conference, June 2003.
    • (2003) Design Automation Conference
    • Ando, H.1
  • 11
    • 0034450511 scopus 로고    scopus 로고
    • Impact of CMOS technology scaling on the atmospheric neutron soft error rate
    • Dec.
    • P. Hazucha and C. Svensson. Impact of CMOS Technology Scaling on the Atmospheric Neutron Soft Error Rate. IEEE Transactions on Nuclear Science, 47(6):2586-2594, Dec. 2000.
    • (2000) IEEE Transactions on Nuclear Science , vol.47 , Issue.6 , pp. 2586-2594
    • Hazucha, P.1    Svensson, C.2
  • 12
    • 0030420474 scopus 로고    scopus 로고
    • Assigning confidence to conditional branch predictions
    • E. Jacobsen, E. Rotenberg, and J. E. Smith. Assigning confidence to conditional branch predictions. In MICRO-29, pages 142-152, 1996.
    • (1996) MICRO-29 , pp. 142-152
    • Jacobsen, E.1    Rotenberg, E.2    Smith, J.E.3
  • 13
    • 84944408863 scopus 로고    scopus 로고
    • Fast path-based neural branch prediction
    • Dec.
    • D. A. Jiminez. Fast path-based neural branch prediction. In MICRO-36, Dec. 2003.
    • (2003) MICRO-36
    • Jiminez, D.A.1
  • 14
    • 9144234352 scopus 로고    scopus 로고
    • Characterization of soft errors caused by single event upsets in CMOS processes
    • Apr.
    • T. Karnik, P. Hazucha, and J. Patel. Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2):128-143, Apr. 2004.
    • (2004) IEEE Transactions on Dependable and Secure Computing , vol.1 , Issue.2 , pp. 128-143
    • Karnik, T.1    Hazucha, P.2    Patel, J.3
  • 15
    • 0003902445 scopus 로고    scopus 로고
    • The technology behind Crusoe processors
    • Transmeta Corporation, Jan.
    • A. Klaiber. The technology behind Crusoe processors. Technical report, Transmeta Corporation, Jan. 2000.
    • (2000) Technical Report
    • Klaiber, A.1
  • 16
    • 27544507492 scopus 로고    scopus 로고
    • Characterization of essential dynamic instructions
    • June
    • S. S. Lumetta and S. J. Patel. Characterization of essential dynamic instructions. In SIGMETRICS 2003, June 2003.
    • (2003) SIGMETRICS 2003
    • Lumetta, S.S.1    Patel, S.J.2
  • 17
    • 0023961238 scopus 로고
    • Concurrent error detection using watchdog processors - A survey
    • Feb.
    • A. Mahmood and E. J. McCluskey. Concurrent error detection using watchdog processors - a survey. IEEE Transactions on Computers, 37(2): 160-174, Feb. 1988.
    • (1988) IEEE Transactions on Computers , vol.37 , Issue.2 , pp. 160-174
    • Mahmood, A.1    McCluskey, E.J.2
  • 18
    • 0003506711 scopus 로고
    • Combining branch predictors
    • Digital Western Research Laboratory, June
    • S. McFarling. Combining branch predictors. Technical Report TN-36, Digital Western Research Laboratory, June 1993.
    • (1993) Technical Report , vol.TN-36
    • McFarling, S.1
  • 19
    • 4544338229 scopus 로고    scopus 로고
    • Advanced Micro Devices, Inc., Sunnyvale, CA, Oct. Microprocessor Forum presentation
    • (TM) Technology Presentation. Advanced Micro Devices, Inc., Sunnyvale, CA, Oct. 1998. Microprocessor Forum presentation.
    • (1998) (TM) Technology Presentation
    • Meyer, D.1
  • 20
    • 0036287327 scopus 로고    scopus 로고
    • Detailed design and evaluation of redundant multithreading alternatives
    • May
    • S. S. Mukherjee, M. Kontz, and S. K. Reinhardt. Detailed design and evaluation of redundant multithreading alternatives. In ISCA-29, pages 99-110, May 2002.
    • (2002) ISCA-29 , pp. 99-110
    • Mukherjee, S.S.1    Kontz, M.2    Reinhardt, S.K.3
  • 21
    • 84944403418 scopus 로고    scopus 로고
    • A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor
    • Dec.
    • S. S. Mukherjee, C. Weaver, J. Emer, S. K. Reinhardt, and T. Austin. A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor. In MICRO-36, pages 29-40, Dec. 2003.
    • (2003) MICRO-36 , pp. 29-40
    • Mukherjee, S.S.1    Weaver, C.2    Emer, J.3    Reinhardt, S.K.4    Austin, T.5
  • 23
    • 0033726332 scopus 로고    scopus 로고
    • Transient fault detection via simultaneous multithreading
    • June
    • S. K. Reinhardt and S. S. Mukherjee. Transient fault detection via simultaneous multithreading. In ISCA-27, June 2000.
    • (2000) ISCA-27
    • Reinhardt, S.K.1    Mukherjee, S.S.2
  • 24
    • 0032597692 scopus 로고    scopus 로고
    • AR-SMT: A microarchitectural approach to fault tolerance in microprocessors
    • June
    • E. Rotenberg. AR-SMT: A microarchitectural approach to fault tolerance in microprocessors. In FTCS, June 1999.
    • (1999) FTCS
    • Rotenberg, E.1
  • 26
    • 0033314330 scopus 로고    scopus 로고
    • IBM S/390 parallel enterprise server G5 fault tolerance: A historical perspective
    • L. Spainhower and T. A. Gregg. IBM S/390 parallel enterprise server G5 fault tolerance: A historical perspective. IBM Journal of Research and Development, 43(5/6):863-873, 1999.
    • (1999) IBM Journal of Research and Development , vol.43 , Issue.5-6 , pp. 863-873
    • Spainhower, L.1    Gregg, T.A.2
  • 27
    • 0031605348 scopus 로고    scopus 로고
    • The potential for using thread-level data speculation to facilitate automatic parallelization
    • Feb.
    • J. G. Steffan and T. C. Mowry. The potential for using thread-level data speculation to facilitate automatic parallelization. In HPCA-4, Feb. 1998.
    • (1998) HPCA-4
    • Steffan, J.G.1    Mowry, T.C.2
  • 28
    • 4544282186 scopus 로고    scopus 로고
    • Characterizing the effects of transient faults on a high-performance processor pipeline
    • June
    • N. J. Wang, J. Quek, T. M. Rafacz, and S. J. Patel. Characterizing the effects of transient faults on a high-performance processor pipeline. In DSN-2004, June 2004.
    • (2004) DSN-2004
    • Wang, N.J.1    Quek, J.2    Rafacz, T.M.3    Patel, S.J.4
  • 29
    • 27544465390 scopus 로고    scopus 로고
    • A fault tolerant approach to microprocessor design
    • May
    • C. Weaver and T. Austin. A fault tolerant approach to microprocessor design. In ISCA-29, May 2002.
    • (2002) ISCA-29
    • Weaver, C.1    Austin, T.2
  • 30
    • 4644320531 scopus 로고    scopus 로고
    • Techniques to reduce the soft error rate of a high-performance microprocessor
    • June
    • C. Weaver, J. Emer, S. S. Mukherjee, and S. K. Reinhardt. Techniques to reduce the soft error rate of a high-performance microprocessor. In ISCA-31 June 2004.
    • (2004) ISCA-31
    • Weaver, C.1    Emer, J.2    Mukherjee, S.S.3    Reinhardt, S.K.4


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.