-
1
-
-
27944505561
-
Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points
-
A. Ajami and M. Pedram, "Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points." in Proc. DAC. 2001, pp. 595-600.
-
(2001)
Proc. DAC
, pp. 595-600
-
-
Ajami, A.1
Pedram, M.2
-
2
-
-
0035333639
-
RC delay merries for performance optimization
-
May
-
C. Alpert, A. Devgan, and C. Kashyap, "RC delay merries for performance optimization," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 20, no. 5, pp. 571-582, May 2001.
-
(2001)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.20
, Issue.5
, pp. 571-582
-
-
Alpert, C.1
Devgan, A.2
Kashyap, C.3
-
3
-
-
34547184654
-
Timing-driven Steiner trees are (practically) free
-
C. Alpert. A. Kahng, C. Sze, and Q. Wang, "Timing-driven Steiner trees are (practically) free," in Proc. DAC. 2006, pp. 389-392.
-
(2006)
Proc. DAC
, pp. 389-392
-
-
Alpert, C.1
Kahng, A.2
Sze, C.3
Wang, Q.4
-
4
-
-
0043136765
-
Timing optimization of FPGA placements by logic replication
-
G. Beraudo and J. Lillis, "Timing optimization of FPGA placements by logic replication," in Proc. DAC, 2003, pp. 196-201.
-
(2003)
Proc. DAC
, pp. 196-201
-
-
Beraudo, G.1
Lillis, J.2
-
5
-
-
0027832523
-
Verification of large synthesized designs
-
D. Brand, "Verification of large synthesized designs," in Proc. ICCAD, 1993, pp. 534-537.
-
(1993)
Proc. ICCAD
, pp. 534-537
-
-
Brand, D.1
-
6
-
-
0001140719
-
Can recursive bisection alone produce routable placements?
-
A. Caldwell, A. Kahng, and I. Markov, "Can recursive bisection alone produce routable placements?" in Proc. DAC, 2000, pp. 693-698.
-
(2000)
Proc. DAC
, pp. 693-698
-
-
Caldwell, A.1
Kahng, A.2
Markov, I.3
-
7
-
-
37249055506
-
Fixing design errors with counterexamples and resynthesis
-
K.-H. Chang, I. Markov, and V. Bertacco, "Fixing design errors with counterexamples and resynthesis." in Proc. ASP-DAC, 2007, pp. 944-949.
-
(2007)
Proc. ASP-DAC
, pp. 944-949
-
-
Chang, K.-H.1
Markov, I.2
Bertacco, V.3
-
8
-
-
43349102826
-
Safe delay optimization for physical synthesis
-
K.-H. Chang, I. Markov, and V. Bertacco, "Safe delay optimization for physical synthesis," in Proc. ASP-DAC, 2007, pp. 628-633.
-
(2007)
Proc. ASP-DAC
, pp. 628-633
-
-
Chang, K.-H.1
Markov, I.2
Bertacco, V.3
-
9
-
-
34548124930
-
InVerS: An incremental verification system with circuit similarity metrics and error visualization
-
K.-H. Chang, D. Papa, I. Markov, and V. Bertacco, "InVerS: An incremental verification system with circuit similarity metrics and error visualization," in Proc. ISQED, 2007, pp. 487-494.
-
(2007)
Proc. ISQED
, pp. 487-494
-
-
Chang, K.-H.1
Papa, D.2
Markov, I.3
Bertacco, V.4
-
10
-
-
16244367444
-
A new incremental placement algorithm and its application to congestion-aware divisor extraction
-
S. Chatterjee and R. Brayton, "A new incremental placement algorithm and its application to congestion-aware divisor extraction," in Proc. ICCAD, 2004, pp. 541-548.
-
(2004)
Proc. ICCAD
, pp. 541-548
-
-
Chatterjee, S.1
Brayton, R.2
-
11
-
-
0033720407
-
Fast post-placement rewiring using easily detectable functional symmetries
-
C.-W. Chang, C.-K. Cheng, P. Suaris, and M. Marek-Sadowska, "Fast post-placement rewiring using easily detectable functional symmetries," in Proc. DAC, 2000, pp. 286-289.
-
(2000)
Proc. DAC
, pp. 286-289
-
-
Chang, C.-W.1
Cheng, C.-K.2
Suaris, P.3
Marek-Sadowska, M.4
-
12
-
-
29144520577
-
Fast and accurate rectilinear Steiner minimal tree algorithm for VLSI design
-
Online, Available
-
C. Chu and Y.-C. Wong, "Fast and accurate rectilinear Steiner minimal tree algorithm for VLSI design." in Proc. ISPD, 2005, pp. 28-35. [Online]. Available: http://class.ee.iastate.edu/cnchu/flute.html
-
(2005)
Proc. ISPD
, pp. 28-35
-
-
Chu, C.1
Wong, Y.-C.2
-
13
-
-
21444441175
-
An extensible SAT-solver
-
Online, Available
-
N. Een and N. Sorensson, "An extensible SAT-solver," in Proc. SAT, 2003, pp. 502-518. [Online]. Available: http://www.cs. chalmers.se/Cs/Research/FormalMethods/MiniSat/
-
(2003)
Proc. SAT
, pp. 502-518
-
-
Een, N.1
Sorensson, N.2
-
14
-
-
0032307331
-
Wireplanning in logic synthesis
-
W. Gosti, A. Narayan, R. Brayton, and A. Sangiovanni-Vincentelli, "Wireplanning in logic synthesis," in Proc. ICCAD, 1998, pp. 26-33.
-
(1998)
Proc. ICCAD
, pp. 26-33
-
-
Gosti, W.1
Narayan, A.2
Brayton, R.3
Sangiovanni-Vincentelli, A.4
-
15
-
-
0035212914
-
Addressing the timing closure problem by integrating logic optimization and placement
-
W. Gosti, S. Khatri, and A. Sangiovanni-Vincentelli, "Addressing the timing closure problem by integrating logic optimization and placement." in Proc. ICCAD, 2001, pp. 224-231.
-
(2001)
Proc. ICCAD
, pp. 224-231
-
-
Gosti, W.1
Khatri, S.2
Sangiovanni-Vincentelli, A.3
-
16
-
-
4444379635
-
An approach to placement-coupled logic replication
-
M. Hrkic, J. Lillis, and G. Beraudo, "An approach to placement-coupled logic replication," in Proc. DAC, 2004, pp. 711-716.
-
(2004)
Proc. DAC
, pp. 711-716
-
-
Hrkic, M.1
Lillis, J.2
Beraudo, G.3
-
17
-
-
0030718151
-
Postlayout logic restructuring for performance optimization
-
Y.-M. Jiang, A. Krstic, K.-T. Cheng, and M. Marek-Sadowska, "Postlayout logic restructuring for performance optimization," in Proc. DAC, 1997, pp. 662-665.
-
(1997)
Proc. DAC
, pp. 662-665
-
-
Jiang, Y.-M.1
Krstic, A.2
Cheng, K.-T.3
Marek-Sadowska, M.4
-
18
-
-
0028565174
-
A methodology and algorithms for post-placement delay optimization
-
L. Kannan, P. Suaris, and H. Fang, "A methodology and algorithms for post-placement delay optimization," in Proc. DAC, 1994, pp. 327-332.
-
(1994)
Proc. DAC
, pp. 327-332
-
-
Kannan, L.1
Suaris, P.2
Fang, H.3
-
19
-
-
0036918496
-
Robust Boolean reasoning for equivalence checking and functional property verification
-
Dec
-
A. Kuehlmann, V. Paruthi, F. Krohm, and M. Ganai, "Robust Boolean reasoning for equivalence checking and functional property verification," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 21, no. 12, pp. 1377-1394, Dec. 2002.
-
(2002)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.21
, Issue.12
, pp. 1377-1394
-
-
Kuehlmann, A.1
Paruthi, V.2
Krohm, F.3
Ganai, M.4
-
20
-
-
0036911554
-
Resynthesis of multi-level circuits under tight constraints using symbolic optimization
-
V. N. Kravets and K. A. Sakallah, "Resynthesis of multi-level circuits under tight constraints using symbolic optimization," in Proc. ICCAD, 2002, pp. 687-693.
-
(2002)
Proc. ICCAD
, pp. 687-693
-
-
Kravets, V.N.1
Sakallah, K.A.2
-
21
-
-
29144440605
-
Floorplan management: Incremental placement for gate sizing and buffer insertion
-
C. Li. C.-K. Koh, and P. Madden, "Floorplan management: Incremental placement for gate sizing and buffer insertion," in Proc. ASP-DAC, 2005, pp. 349-354.
-
(2005)
Proc. ASP-DAC
, pp. 349-354
-
-
Koh, C.L.C.-K.1
Madden, P.2
-
22
-
-
33846545005
-
DAG-aware AIG rewriting: A fresh look at combinational logic synthesis
-
A. Mishchenko, S. Chatterjee, and R. Brayton, "DAG-aware AIG rewriting: A fresh look at combinational logic synthesis," in Proc. DAC, 2006, pp. 532-536.
-
(2006)
Proc. DAC
, pp. 532-536
-
-
Mishchenko, A.1
Chatterjee, S.2
Brayton, R.3
-
23
-
-
33745823396
-
-
EECS Dept, UC Berkeley, Berkeley, CA, ERL Tech. Rep, Online, Available
-
A. Mishchenko, S. Chatterjee, R. Jiang, and R. Brayton, "FRAIGs: A unifying representation for logic synthesis and verification," EECS Dept., UC Berkeley, Berkeley, CA, 2005. ERL Tech. Rep. [Online], Available: http://www.eecs.berkeley.edu/~alanmi/publications/
-
(2005)
FRAIGs: A unifying representation for logic synthesis and verification
-
-
Mishchenko, A.1
Chatterjee, S.2
Jiang, R.3
Brayton, R.4
-
24
-
-
0034852165
-
Chaff: Engineering an efficient SAT solver
-
M. Moskewicz, C. Madigan, Y. Zhao, L. Zhang, and S. Malik, "Chaff: Engineering an efficient SAT solver," in Proc. DAC, 2001, pp. 530-535.
-
(2001)
Proc. DAC
, pp. 530-535
-
-
Moskewicz, M.1
Madigan, C.2
Zhao, Y.3
Zhang, L.4
Malik, S.5
-
25
-
-
0031630284
-
Planning for performance
-
R. H. J. M. Otten and R. K. Brayton, "Planning for performance," in Proc. DAC, 1998, pp. 122-127.
-
(1998)
Proc. DAC
, pp. 122-127
-
-
Otten, R.H.J.M.1
Brayton, R.K.2
-
26
-
-
0027088777
-
Layout driven logic restructuring/ decomposition
-
M. Pedram and N. Bhat, "Layout driven logic restructuring/ decomposition," in Proc. ICCAD. 1991, pp. 134-137.
-
(1991)
Proc. ICCAD
, pp. 134-137
-
-
Pedram, M.1
Bhat, N.2
-
27
-
-
43349100034
-
Optimizing non-monotonic interconnect using functional simulation and logic restructuring
-
S. Plaza, I. Markov, and V. Bertacco, "Optimizing non-monotonic interconnect using functional simulation and logic restructuring," in Proc. ISPD, 2008, pp. 95-102.
-
(2008)
Proc. ISPD
, pp. 95-102
-
-
Plaza, S.1
Markov, I.2
Bertacco, V.3
-
28
-
-
46649118877
-
Node mergers in the presence of don't cares
-
S. Plaza, K.-H. Chang, I. Markov, and V. Bertacco, "Node mergers in the presence of don't cares," in Proc. ASP-DAC, 2006, pp. 414-419.
-
(2006)
Proc. ASP-DAC
, pp. 414-419
-
-
Plaza, S.1
Chang, K.-H.2
Markov, I.3
Bertacco, V.4
-
29
-
-
2342420999
-
Repeater scaling and its impact on CAD
-
Apr
-
P. Saxena, N. Menezes, P. Cocchini, and D. Kirkpatrick, "Repeater scaling and its impact on CAD," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 4, pp. 451-463, Apr. 2004.
-
(2004)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.23
, Issue.4
, pp. 451-463
-
-
Saxena, P.1
Menezes, N.2
Cocchini, P.3
Kirkpatrick, D.4
-
30
-
-
0032680865
-
GRASP: A search algorithm for propositional satisfiability
-
May
-
J. Marques-Silva and K. Sakallah, "GRASP: A search algorithm for propositional satisfiability," IEEE Trans. Comput., vol. 48, no. 5, pp. 506-521, May 1999.
-
(1999)
IEEE Trans. Comput
, vol.48
, Issue.5
, pp. 506-521
-
-
Marques-Silva, J.1
Sakallah, K.2
-
31
-
-
0036917420
-
Topologically constrained logic synthesis
-
S. Sinha, A. Mishchenko, and R. Brayton, "Topologically constrained logic synthesis," in Proc. ICCAD, 2002, pp. 679-686.
-
(2002)
Proc. ICCAD
, pp. 679-686
-
-
Sinha, S.1
Mishchenko, A.2
Brayton, R.3
-
32
-
-
0030679121
-
Timing driven placement in interaction with netlist transformations
-
G. Stenz, B. Riess, B. Rohfleisch, and F. Johannes, "Timing driven placement in interaction with netlist transformations," in Proc. ISPD, 1997, pp. 36-41.
-
(1997)
Proc. ISPD
, pp. 36-41
-
-
Stenz, G.1
Riess, B.2
Rohfleisch, B.3
Johannes, F.4
-
33
-
-
0025594311
-
Buffer placement in distributed RC-tree networks for minimal Elmore delay
-
L. P. P. P van Ginneken, "Buffer placement in distributed RC-tree networks for minimal Elmore delay," in Proc. ISCAS, 1990, pp. 865-868.
-
(1990)
Proc. ISCAS
, pp. 865-868
-
-
van Ginneken, L.P.P.P.1
-
34
-
-
50249173469
-
Timing optimization by restructuring long combinatorial paths
-
J. Werber, D. Rautenbach, and C. Szegedy, "Timing optimization by restructuring long combinatorial paths," in Proc. ICCAD. 2007, pp. 536-543.
-
(2007)
Proc. ICCAD
, pp. 536-543
-
-
Werber, J.1
Rautenbach, D.2
Szegedy, C.3
-
35
-
-
0034250771
-
SPFD: A new method to express functional flexibility
-
Aug
-
S. Yamashita, H. Sawada, and A. Nagoya, "SPFD: A new method to express functional flexibility," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 19. no. 8, pp. 840-849, Aug. 2000.
-
(2000)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst
, vol.19
, Issue.8
, pp. 840-849
-
-
Yamashita, S.1
Sawada, H.2
Nagoya, A.3
-
36
-
-
37248999358
-
Automating logic rectification by approximate SPFDs
-
Y.-S. Yang, S. Sinha, A. Veneris, and R. Brayton, "Automating logic rectification by approximate SPFDs." in Proc. ASP-DAC, 2007, pp. 402-407.
-
(2007)
Proc. ASP-DAC
, pp. 402-407
-
-
Yang, Y.-S.1
Sinha, S.2
Veneris, A.3
Brayton, R.4
-
37
-
-
34547147084
-
SAT sweeping with local observability don't-cares
-
Q. Zhu, N. Kitchen, A. Kuehlmann, and A. Sangiovanni-Vincentelli, "SAT sweeping with local observability don't-cares," in Proc. DAC, 2006, pp. 229-234.
-
(2006)
Proc. DAC
, pp. 229-234
-
-
Zhu, Q.1
Kitchen, N.2
Kuehlmann, A.3
Sangiovanni-Vincentelli, A.4
-
39
-
-
56749142072
-
-
Available
-
[Online], Available: http://iwls.org/iwls2005/benchmarks.html
-
-
-
-
40
-
-
56749129330
-
-
SynopsysDesignCompiler, Online, Available
-
SynopsysDesignCompiler. [Online]. Available: http://www.synopsys. com
-
-
-
-
41
-
-
62949125171
-
-
Online, Available
-
UMICH Physical Design Tools. [Online]. Available: http://vlsicad.eecs.umich.edu/BK/PDtools/
-
UMICH Physical Design Tools
-
-
|