-
2
-
-
51549108631
-
-
K. Asanovic et al. The landscape of parallel computing research: a view from Berkeley. Technical report, Electrical Engineering and Computer Sciences, University of California at Berkeley, 2006.
-
K. Asanovic et al. The landscape of parallel computing research: a view from Berkeley. Technical report, Electrical Engineering and Computer Sciences, University of California at Berkeley, 2006.
-
-
-
-
3
-
-
51549110478
-
-
K. Asanovic et al. The landscape of parallel computing research: a view from Berkeley 2.0. Technical report, Electrical Engineering and Computer Sciences, University of California at Berkeley, 2007.
-
K. Asanovic et al. The landscape of parallel computing research: a view from Berkeley 2.0. Technical report, Electrical Engineering and Computer Sciences, University of California at Berkeley, 2007.
-
-
-
-
4
-
-
46649106517
-
-
Z. Cao et al. Dprouter: A fast and accurate dynamic-patternbased global routing algorithm. ASPDAC '07:, pp. 256-261, 2007.
-
Z. Cao et al. Dprouter: A fast and accurate dynamic-patternbased global routing algorithm. ASPDAC '07:, pp. 256-261, 2007.
-
-
-
-
5
-
-
29144513767
-
-
T. F. Chan et al. MPL6: a robust multilevel mixed-size placement engine. ISPD '05, pp. 227-229, 2005.
-
T. F. Chan et al. MPL6: a robust multilevel mixed-size placement engine. ISPD '05, pp. 227-229, 2005.
-
-
-
-
6
-
-
33751435863
-
-
T.-C. Chen, Y.-W. Chang, and S.-C. Lin. Imf: interconnect-driven multilevel floorplanning for large-scale building-module designs. ICCAD '05, pp. 159-164, 2005.
-
T.-C. Chen, Y.-W. Chang, and S.-C. Lin. Imf: interconnect-driven multilevel floorplanning for large-scale building-module designs. ICCAD '05, pp. 159-164, 2005.
-
-
-
-
7
-
-
46149088493
-
-
T.-C. Chen et al. A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. ICCAD '06, pp. 187-192, 2006.
-
T.-C. Chen et al. A high-quality mixed-size analytical placer considering preplaced blocks and density constraints. ICCAD '06, pp. 187-192, 2006.
-
-
-
-
8
-
-
43349093583
-
-
M. Cho et al. Boxrouter 2.0: architecture and implementation of a hybrid and robust global router. ICCAD '07, pp. 503-508, 2007.
-
M. Cho et al. Boxrouter 2.0: architecture and implementation of a hybrid and robust global router. ICCAD '07, pp. 503-508, 2007.
-
-
-
-
9
-
-
29144520577
-
-
C. Chu and Y.-C. Wong. Fast and accurate rectilinear steiner minimal tree algorithm for vlsi design. ISPD '05: pp. 28-35, 2005.
-
C. Chu and Y.-C. Wong. Fast and accurate rectilinear steiner minimal tree algorithm for vlsi design. ISPD '05: pp. 28-35, 2005.
-
-
-
-
11
-
-
0003667907
-
An introduction to software architecture
-
Technical report, Pittsburgh, PA, USA
-
D. Garlan and M. Shaw. An introduction to software architecture. Technical report, Pittsburgh, PA, USA, 1994.
-
(1994)
-
-
Garlan, D.1
Shaw, M.2
-
12
-
-
33745945864
-
-
A. B. Kahng and Q. Wang. A faster implementation of aplace. ISPD '06, pp. 218-220, New York, NY, USA, 2006.
-
A. B. Kahng and Q. Wang. A faster implementation of aplace. ISPD '06, pp. 218-220, New York, NY, USA, 2006.
-
-
-
-
13
-
-
0026131224
-
VLSI placement by quadratic programming and slicing optimization
-
M. Kleinhans et al. VLSI placement by quadratic programming and slicing optimization. IEEE Trans. on CAD, 10(3):356-365, 1991.
-
(1991)
IEEE Trans. on CAD
, vol.10
, Issue.3
, pp. 356-365
-
-
Kleinhans, M.1
-
14
-
-
0036051250
-
-
J.-M. Lin and Y.-W. Chang. Tcg-s: orthogonal coupling of p*-admissible representations for general floorplans. DAC '02, pp. 842-847, 2002.
-
J.-M. Lin and Y.-W. Chang. Tcg-s: orthogonal coupling of p*-admissible representations for general floorplans. DAC '02, pp. 842-847, 2002.
-
-
-
-
15
-
-
0036892934
-
From patterns to frameworks to parallel programs
-
S. MacDonald et al. From patterns to frameworks to parallel programs. Parallel Computation, 28(12):1663-1683, 2002.
-
(2002)
Parallel Computation
, vol.28
, Issue.12
, pp. 1663-1683
-
-
MacDonald, S.1
-
17
-
-
33745939879
-
-
A. N. Ng et al. Solving hard instances of floorplacement. ISPD'06: pp. 170-177, 2006.
-
A. N. Ng et al. Solving hard instances of floorplacement. ISPD'06: pp. 170-177, 2006.
-
-
-
-
18
-
-
46649104482
-
Fastroute 2.0: A high-quality and efficient global router
-
M. Pan and C. Chu. Fastroute 2.0: A high-quality and efficient global router. Asia South Pacific-DAC '07: pp. 250-255, 2007.
-
(2007)
Asia South Pacific-DAC '07
, pp. 250-255
-
-
Pan, M.1
Chu, C.2
-
19
-
-
34547281987
-
Fast and robust quadratic placement combined with an exact linear net model
-
P. Spindler and F. M. Johannes. Fast and robust quadratic placement combined with an exact linear net model. In ICCAD'06: pp. 179-186, 2006.
-
(2006)
ICCAD'06
, pp. 179-186
-
-
Spindler, P.1
Johannes, F.M.2
-
20
-
-
33745946454
-
-
T. Taghavi, et al. Dragon2006: blockage-aware congestion- controlling mixed-size placer. ISPD '06, pp. 209-211, 2006.
-
T. Taghavi, et al. Dragon2006: blockage-aware congestion- controlling mixed-size placer. ISPD '06, pp. 209-211, 2006.
-
-
-
-
21
-
-
34547345140
-
-
N. Viswanathan et al. Rql: global placement via relaxed quadratic spreading and linearization. DAC '07, pp. 453-458, 2007.
-
N. Viswanathan et al. Rql: global placement via relaxed quadratic spreading and linearization. DAC '07, pp. 453-458, 2007.
-
-
-
-
22
-
-
34547326796
-
Fastplace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control
-
N. Viswanathan, M. Pan, and C. Chu. Fastplace 3.0: A fast multilevel quadratic placement algorithm with placement congestion control. Asia South Pacific-DAC '07, pp. 135-140, 2007.
-
(2007)
Asia South Pacific-DAC '07
, pp. 135-140
-
-
Viswanathan, N.1
Pan, M.2
Chu, C.3
-
23
-
-
0030378255
-
VLSI Module Placement Based on Rectangle-Packing by the Sequence Pair
-
H. Murata et al. VLSI Module Placement Based on Rectangle-Packing by the Sequence Pair, IEEE Trans. on CAD 15(12), pp. 1518-1524, 1996.
-
(1996)
IEEE Trans. on CAD
, vol.15
, Issue.12
, pp. 1518-1524
-
-
Murata, H.1
-
24
-
-
0033692066
-
-
J. Cong, J. Fang, and K. Khoo. DUNE: a multi-layer gridless routing system with wire planning. ISPD'00, pp. 12-18, 2000.
-
J. Cong, J. Fang, and K. Khoo. DUNE: a multi-layer gridless routing system with wire planning. ISPD'00, pp. 12-18, 2000.
-
-
-
-
25
-
-
51549098490
-
Data Structure Processor for VLSI Geometry Checking
-
September
-
E. Carlson, R. Rutenbar. A Scanline Data Structure Processor for VLSI Geometry Checking, IEEE Trans. on CAD 6(5), pp. 780-794, September 1987
-
(1987)
IEEE Trans. on CAD
, vol.6
, Issue.5
, pp. 780-794
-
-
Carlson, E.1
Rutenbar, R.2
Scanline, A.3
-
26
-
-
27944510181
-
-
J. Mitra, P. Yu, D. Pan. RADAR: RET-aware detailed routing using fast lithography simulations, DAC'05, pp. 369-372, 2005.
-
(2005)
RADAR: RET-aware detailed routing using fast lithography simulations, DAC'05
, pp. 369-372
-
-
Mitra, J.1
Yu, P.2
Pan, D.3
-
27
-
-
33751399217
-
-
C. Chiang et al. Fast and efficient phase conflict detection and correction in standard-cell layouts. ICCAD'05, pp. 149-156, 2005.
-
C. Chiang et al. Fast and efficient phase conflict detection and correction in standard-cell layouts. ICCAD'05, pp. 149-156, 2005.
-
-
-
-
28
-
-
51549114340
-
-
N. Saluja and S. Khatri. A robust algorithm for approximate compatible observability don't care (CODC) computation. DAC'04, pp 7-11, 2004.
-
N. Saluja and S. Khatri. A robust algorithm for approximate compatible observability don't care (CODC) computation. DAC'04, pp 7-11, 2004.
-
-
-
-
29
-
-
0026005478
-
Retiming Synchronous Circuitry
-
C. Leiserson and J. Saxe. Retiming Synchronous Circuitry, Algorithmica, vol. 6, pp. 5-35, 1991.
-
(1991)
Algorithmica
, vol.6
, pp. 5-35
-
-
Leiserson, C.1
Saxe, J.2
-
30
-
-
0023210698
-
-
K. Keutzer. DAGON: technology binding and local optimization by DAG matching. DAC'87, pp. 341-347, 1987.
-
K. Keutzer. DAGON: technology binding and local optimization by DAG matching. DAC'87, pp. 341-347, 1987.
-
-
-
-
31
-
-
0031200347
-
Logic decomposition during technology mapping
-
E. Lehman et al. Logic decomposition during technology mapping, IEEE Trans. on CAD 16(8):813-834, 1997.
-
(1997)
IEEE Trans. on CAD
, vol.16
, Issue.8
, pp. 813-834
-
-
Lehman, E.1
-
32
-
-
34547264998
-
-
A. Ramalingam et al. An accurate sparse matrix based framework for statistical static timing analysis. DAC'06, pp. 231-236, 2006.
-
A. Ramalingam et al. An accurate sparse matrix based framework for statistical static timing analysis. DAC'06, pp. 231-236, 2006.
-
-
-
-
33
-
-
0027840911
-
Computation of floating mode delay in combinational circuits: Practice and implementation
-
S. Devadas, et al. Computation of floating mode delay in combinational circuits: practice and implementation, IEEE Trans. on CAD 12(12):1924-1936, 1993.
-
(1993)
IEEE Trans. on CAD
, vol.12
, Issue.12
, pp. 1924-1936
-
-
Devadas, S.1
-
34
-
-
0026964018
-
-
N. Shenoy, R. Brayton, and A. Sangiovanni-Vincentelli. Graph algorithms for clock schedule optimization. ICCAD'92, pp. 132-136, 1992.
-
N. Shenoy, R. Brayton, and A. Sangiovanni-Vincentelli. Graph algorithms for clock schedule optimization. ICCAD'92, pp. 132-136, 1992.
-
-
-
-
35
-
-
85053479104
-
-
P. Goel and B. Rosales, PODEM-X: An automatic test generation system for VLSI logic structures. DAC'81, 1981.
-
P. Goel and B. Rosales, PODEM-X: An automatic test generation system for VLSI logic structures. DAC'81, 1981.
-
-
-
-
36
-
-
0022331958
-
FAN: A Fanout-Oriented Test Pattern Generation Algorithm
-
H. Fujiwara. FAN: A Fanout-Oriented Test Pattern Generation Algorithm, Proc. Int'l Symp. Circuits and Systems, pp. 671-674, 1985.
-
(1985)
Proc. Int'l Symp. Circuits and Systems
, pp. 671-674
-
-
Fujiwara, H.1
-
38
-
-
0027075808
-
-
S. Devadas, K. Keutzer, S. Malik, Delay computation in combinational logic circuits: theory and algorithms, ICCAD'91, pp. 176-179, 1991.
-
(1991)
Delay computation in combinational logic circuits: Theory and algorithms, ICCAD'91
, pp. 176-179
-
-
Devadas, S.1
Keutzer, K.2
Malik, S.3
-
39
-
-
84893713000
-
-
E. Goldberg, M. Prasad, and R. Brayton. Using SAT for combinational equivalence checking. DATE'01, pp. 114-121, 2001.
-
E. Goldberg, M. Prasad, and R. Brayton. Using SAT for combinational equivalence checking. DATE'01, pp. 114-121, 2001.
-
-
-
-
40
-
-
0024172602
-
-
S. Malik et al. Logic Verification Using Binary-Decision Diagrams in a Logic Synthesis Environment. ICCAD'88, pp. 6-9, 1988.
-
S. Malik et al. Logic Verification Using Binary-Decision Diagrams in a Logic Synthesis Environment. ICCAD'88, pp. 6-9, 1988.
-
-
-
-
42
-
-
0034848147
-
-
A. Kolbi, J. Kukula, R. Damiano, Symbolic RTL simulation, DAC'01, pp. 47-52, 2001.
-
(2001)
Symbolic RTL simulation, DAC'01
, pp. 47-52
-
-
Kolbi, A.1
Kukula, J.2
Damiano, R.3
-
43
-
-
0003369344
-
SPICE2: A Computer Program to Simulate Semiconductor Circuits, Memorandum
-
University of California, Berkeley, May
-
L. Nagel. SPICE2: A Computer Program to Simulate Semiconductor Circuits, Memorandum No. ERL-M520, University of California, Berkeley, May 1975.
-
(1975)
ERL-M520
-
-
Nagel, L.1
-
45
-
-
33846545005
-
-
A. Mishchenko, S. Chatterjee and R. Brayton, DAG-aware AIG rewriting: a fresh look at combinatorial logic synthesis, DAC'06, pp. 532-535, 2006.
-
(2006)
DAG-aware AIG rewriting: A fresh look at combinatorial logic synthesis, DAC'06
, pp. 532-535
-
-
Mishchenko, A.1
Chatterjee, S.2
Brayton, R.3
-
48
-
-
85046457769
-
-
C. Fiduccia, R. Mattheyses. A Linear-Time Heuristic for Improving Network Partitions, DAC'82, pp. 175-181, 1982.
-
C. Fiduccia, R. Mattheyses. A Linear-Time Heuristic for Improving Network Partitions, DAC'82, pp. 175-181, 1982.
-
-
-
-
49
-
-
0343420500
-
-
PhD Thesis, University of California, Berkeley
-
M. Hsueh. Symbolic layout and compaction, PhD Thesis, University of California, Berkeley, 1980.
-
(1980)
Symbolic layout and compaction
-
-
Hsueh, M.1
-
50
-
-
0026623575
-
Test pattern generation using Boolean satisfiability
-
T. Larrabee. Test pattern generation using Boolean satisfiability, IEEE TCAD, 11, 1, pp. 4-15, 1992.
-
(1992)
IEEE TCAD
, vol.11
, Issue.1
, pp. 4-15
-
-
Larrabee, T.1
-
54
-
-
0003706460
-
-
Third Ed, Society for Industrial and Applied Mathematics
-
Anderson, E., et al., 1999 LAPACK Users' Guide (Third Ed.). Society for Industrial and Applied Mathematics.
-
(1999)
LAPACK Users' Guide
-
-
Anderson, E.1
-
55
-
-
34147120152
-
Challenges in Parallel Graph Processing
-
Lumsdaine, A., et al., Challenges in Parallel Graph Processing. Parallel Processing Letters, 17(1):5-20, 2007.
-
(2007)
Parallel Processing Letters
, vol.17
, Issue.1
, pp. 5-20
-
-
Lumsdaine, A.1
|