-
1
-
-
0029180378
-
The MIT Alewife machine: Architecture and performance
-
Santa Margherita Ligure, Italy
-
AGARWAL, A., BIANCHINI, R., CHAIKEN, D., JOHNSON, K. L., KRANZ, D., KUBIATOWICZ, J., LIM, B. H., MACKENZIE, K., AND YEUNG, D. 1995. The MIT Alewife machine: architecture and performance. In Proceedings of the 22nd Annual International Symposium on Computer Architecture (Santa Margherita Ligure, Italy). 2-13.
-
(1995)
Proceedings of the 22nd Annual International Symposium on Computer Architecture
, pp. 2-13
-
-
Agarwal, A.1
Bianchini, R.2
Chaiken, D.3
Johnson, K.L.4
Kranz, D.5
Kubiatowicz, J.6
Lim, B.H.7
Mackenzie, K.8
Yeung, D.9
-
2
-
-
84945714902
-
Sparcle: An evolutionary processor design for large-scale multiprocessors
-
AGARWAL, A., KUBIATOWICZ, J., KRANZ, D., LIM, B. H., YEOUNG, D., D'SOUZA, G., AND PARKIN, M. 1993. Sparcle: an evolutionary processor design for large-scale multiprocessors. IEEE Micro 13, 3, 48-61.
-
(1993)
IEEE Micro
, vol.13
, Issue.3
, pp. 48-61
-
-
Agarwal, A.1
Kubiatowicz, J.2
Kranz, D.3
Lim, B.H.4
Yeoung, D.5
D'Souza, G.6
Parkin, M.7
-
4
-
-
0034958341
-
Blue Gene: A vision for protein science using a petaflops supercomputer
-
ALLEN, F., ALMASI, G., ANDREONI, W., BEECE, D., BERNE, B. J., BRIGHT, A., BRUNHEROTO, J., CASCAVAL, C., CASTANOS, J., COTEUS, P., CRUMLEY, P., CURIONI, A., DENNEAU, M., DONATH, W., ELEFTHERIOU, M., PITCH, B., FLEISCHER, B., GEORGIOU, C. J., GERMAIN, R., GIAMPAPA, M., GRESH, D., GUPTA, M., HARING, R., Ho, H., HOCHSCHILD, P., HUMMEL, S., JONAS, T., LIEBER, D., MARTYNA, G., MATURU, K., MOREIRA, J., NEWNS, D., NEWTON, M., PHILHOWER, R., PICUNKO, T., PITERA, J., PITMAN, M., RAND, R., ROYYURU, A., SALAPURA, V., SANOMIYA, A., SHAH, R., SHAM, Y., SINGH, S., SNIR, M., SUITS, R., SWETZ, R., SWOPE, W. C., VISHNUMURTHY, N., WARD, T. G. J., WARREN, H., AND ZHOU, R. 2001. Blue Gene: a vision for protein science using a petaflops supercomputer. IBM Syst. J. 40, 2, 310-326.
-
(2001)
IBM Syst. J.
, vol.40
, Issue.2
, pp. 310-326
-
-
Allen, F.1
Almasi, G.2
Andreoni, W.3
Beece, D.4
Berne, B.J.5
Bright, A.6
Brunheroto, J.7
Cascaval, C.8
Castanos, J.9
Coteus, P.10
Crumley, P.11
Curioni, A.12
Denneau, M.13
Donath, W.14
Eleftheriou, M.15
Pitch, B.16
Fleischer, B.17
Georgiou, C.J.18
Germain, R.19
Giampapa, M.20
Gresh, D.21
Gupta, M.22
Haring, R.23
Ho, H.24
Hochschild, P.25
Hummel, S.26
Jonas, T.27
Lieber, D.28
Martyna, G.29
Maturu, K.30
Moreira, J.31
Newns, D.32
Newton, M.33
Philhower, R.34
Picunko, T.35
Pitera, J.36
Pitman, M.37
Rand, R.38
Royyuru, A.39
Salapura, V.40
Sanomiya, A.41
Shah, R.42
Sham, Y.43
Singh, S.44
Snir, M.45
Suits, R.46
Swetz, R.47
Swope, W.C.48
Vishnumurthy, N.49
Ward, T.G.J.50
Warren, H.51
Zhou, R.52
more..
-
5
-
-
0004290519
-
-
Benjamin/Cummings, Menlo Park, CA
-
ALMASI, G. S. AND GOTTLIEB, A. 1994. Highly Parallel Computing, 2nd ed. Benjamin/Cummings, Menlo Park, CA.
-
(1994)
Highly Parallel Computing, 2nd Ed.
-
-
Almasi, G.S.1
Gottlieb, A.2
-
6
-
-
84947739367
-
Scheduling on the tera MTA
-
Springer-Verlag, Heidelberg, Germany
-
ALVERSON, G., KAHAN, S., KORRY, R., MCCANN, C., AND SMITH, B. J. 1995. Scheduling on the Tera MTA. In Lecture Notes in Computer Science, vol. 949. Springer-Verlag, Heidelberg, Germany. 19-44.
-
(1995)
Lecture Notes in Computer Science
, vol.949
, pp. 19-44
-
-
Alverson, G.1
Kahan, S.2
Korry, R.3
Mccann, C.4
Smith, B.J.5
-
7
-
-
0025028257
-
The Tera computer system
-
Amsterdam, The Netherlands.
-
ALVERSON, R., CALLAHAN, D., CUMMINGS, D., KOBLENZ, B., PORTERFIELD, A., AND SMITH, B. J. 1990. The Tera computer system. In Proceedings of the 4th International Conference on Supercomputing (Amsterdam, The Netherlands). 1-6.
-
(1990)
Proceedings of the 4th International Conference on Supercomputing
, pp. 1-6
-
-
Alverson, R.1
Callahan, D.2
Cummings, D.3
Koblenz, B.4
Porterfield, A.5
Smith, B.J.6
-
8
-
-
0031365424
-
Building the 4 processor SB-PRAM prototype
-
Maui, HI.
-
BACH, P., BRAUN, M., FORMELLA, A., FRIEDRICH, J., GRÜN, T., AND LINCHTENAU, C. 1997. Building the 4 processor SB-PRAM prototype. In Proceedings of the 30th Hawaii International Conference on System Science (Maui, HI). 5:14-23.
-
(1997)
Proceedings of the 30th Hawaii International Conference on System Science
, vol.5
, pp. 14-23
-
-
Bach, P.1
Braun, M.2
Formella, A.3
Friedrich, J.4
Grün, T.5
Linchtenau, C.6
-
9
-
-
0033722744
-
Piranha: A scalable architecture based on single-chip multiprocessing
-
Vancouver, B.C., Canada
-
BARROSO, L. A., GHARACHORLOO, K., MCNAMARA, R., NOWATZYK, A., QADEER, S., SANO, B., SMITH, S., STETS, R., AND VERGHESE, B. 2000. Piranha: a scalable architecture based on single-chip multiprocessing. In Proceedings of the 27th Annual International Symposium on Computer Architecture (Vancouver, B.C., Canada). 282-293.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture
, pp. 282-293
-
-
Barroso, L.A.1
Gharachorloo, K.2
Mcnamara, R.3
Nowatzyk, A.4
Qadeer, S.5
Sano, B.6
Smith, S.7
Stets, R.8
Verghese, B.9
-
10
-
-
0030232590
-
Dynamic scheduling in RISC architectures
-
BOLYCHEVSKY, A., JESSHOPE, C. R., AND MUCHNIK, V. B. 1996. Dynamic scheduling in RISC architectures. IEE P. Comput. Dig. Itch. 143, 5, 309-317.
-
(1996)
IEE P. Comput. Dig. Itch.
, vol.143
, Issue.5
, pp. 309-317
-
-
Bolychevsky, A.1
Jesshope, C.R.2
Muchnik, V.B.3
-
11
-
-
0009379932
-
Evaluation of multithreading and caching in large shared memory parallel computers
-
Computer Science Division, University of California, Berkeley, Berkeley, CA
-
BOOTHE, R. F. 1993. Evaluation of multithreading and caching in large shared memory parallel computers. Tech. Rep. UCB/CSD-93-766. Computer Science Division, University of California, Berkeley, Berkeley, CA.
-
(1993)
Tech. Rep.
, vol.UCB-CSD-93-766
-
-
Boothe, R.F.1
-
13
-
-
0034312472
-
A multithreaded PowerPC processor for commercial servers
-
BORKENHAGEN, J. M., EICKEMEYER, R. J., KALLA, R. N., AND KUNKEL, S. R. 2000. A multithreaded PowerPC processor for commercial servers. IBM J. Res. Dev. 44, 6, 885-898.
-
(2000)
IBM J. Res. Dev.
, vol.44
, Issue.6
, pp. 885-898
-
-
Borkenhagen, J.M.1
Eickemeyer, R.J.2
Kalla, R.N.3
Kunkel, S.R.4
-
14
-
-
0035195203
-
A microkernel middleware architecture for distributed embedded real-time systems
-
New Orleans LA
-
BRINKSCHULTE, U., BECHINA, A., PICIOROAGA, F., SCHNEIDER, E., UNGERER, T., KREUZINGER, J., AND PFEFFER, M. 2000. A microkernel middleware architecture for distributed embedded real-time systems. In Proceedings of the 20th IEEE Symposium on Reliable Distributed Systems (New Orleans LA). 218-226.
-
(2000)
Proceedings of the 20th IEEE Symposium on Reliable Distributed Systems
, pp. 218-226
-
-
Brinkschulte, U.1
Bechina, A.2
Picioroaga, F.3
Schneider, E.4
Ungerer, T.5
Kreuzinger, J.6
Pfeffer, M.7
-
15
-
-
0033359215
-
A multithreaded Java microcontroller for thread-oriented real-time event-handling
-
Newport Beach, CA
-
BRINKSCHULTE, U., KRAKOWSKI, C., KREUZINGER, J., AND UNGERER, T. 1999a. A multithreaded Java microcontroller for thread-oriented real-time event-handling. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (Newport Beach, CA). 34-39.
-
(1999)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 34-39
-
-
Brinkschulte, U.1
Krakowski, C.2
Kreuzinger, J.3
Ungerer, T.4
-
16
-
-
84889060299
-
The Komodo project: Thread-based event handling supported by a multithreaded Java microcontroller
-
Milan, Italy
-
BRINKSCHULTE, U., KRAKOWSKI, C., MARSTON, R., KREUZINGER, J., AND UNGERER, T. 1999b. The Komodo project: thread-based event handling supported by a multithreaded Java microcontroller. In Proceedings of the 25th Euromicro Conference (Milan, Italy). 122-128.
-
(1999)
Proceedings of the 25th Euromicro Conference
, pp. 122-128
-
-
Brinkschulte, U.1
Krakowski, C.2
Marston, R.3
Kreuzinger, J.4
Ungerer, T.5
-
17
-
-
4544380577
-
A scheduling technique providing a strict isolation of real-time threads
-
San Diego, CA
-
BRINKSCHULTE, U., KREUZINGER, J., PFEFFER, M., AND UNGERER, T. 2002. A scheduling technique providing a strict isolation of real-time threads. In Proceedings of the 7th IEEE International Workshop on Object-oriented Real-time Dependable Systems (San Diego, CA). 169-172.
-
(2002)
Proceedings of the 7th IEEE International Workshop on Object-oriented Real-time Dependable Systems
, pp. 169-172
-
-
Brinkschulte, U.1
Kreuzinger, J.2
Pfeffer, M.3
Ungerer, T.4
-
18
-
-
0034316092
-
Power-aware microarchitecture: Designing and modeling challenges for next-generation microprocessors
-
BROOKS, D. M., BOSE, P., SCHUSTER, S. E., JACOBSON, H., KUDVA, P. N., BUYUKTOSUNOGLU, A., WELLMAN, J. D., ZYUBAN, V., GUPTA, M., AND COOK, P. W. 2000. Power-aware microarchitecture: designing and modeling challenges for next-generation microprocessors. IEEE Micro 20, 6, 26-44.
-
(2000)
IEEE Micro
, vol.20
, Issue.6
, pp. 26-44
-
-
Brooks, D.M.1
Bose, P.2
Schuster, S.E.3
Jacobson, H.4
Kudva, P.N.5
Buyuktosunoglu, A.6
Wellman, J.D.7
Zyuban, V.8
Gupta, M.9
Cook, P.W.10
-
20
-
-
0026155511
-
Single instruction stream parallelism is greater than two
-
Toronto, Ont., Canada
-
BUTLER, M., YEH, T. Y., PATT, Y. N., ALSUP, M., SCALES, H., AND SHEBANOW, M. 1991. Single instruction stream parallelism is greater than two. In Proceedings of the 18th International Symposium on Computer Architecture (Toronto, Ont., Canada). 276-286.
-
(1991)
Proceedings of the 18th International Symposium on Computer Architecture
, pp. 276-286
-
-
Butler, M.1
Yeh, T.Y.2
Patt, Y.N.3
Alsup, M.4
Scales, H.5
Shebanow, M.6
-
21
-
-
0032662989
-
Simultaneous subordinate microthreading (SSMT)
-
Atlanta, GA
-
CHAPPELL, R. S., STARK, J., KIM, S. P., REINHARDT, S. K., AND PATT, Y. N. 1999. Simultaneous subordinate microthreading (SSMT). In Proceedings of the 26th Annual International Symposium on Computer Architecture (Atlanta, GA). 186-195.
-
(1999)
Proceedings of the 26th Annual International Symposium on Computer Architecture
, pp. 186-195
-
-
Chappell, R.S.1
Stark, J.2
Kim, S.P.3
Reinhardt, S.K.4
Patt, Y.N.5
-
23
-
-
0003662159
-
-
Morgan Kaufmann, San Francisco, CA
-
CULLER, D. E., SINGH, J. P., AND GUPTA, A. 1998. Parallel Computer Architecture: A Hardware/Software Approach. Morgan Kaufmann, San Francisco, CA.
-
(1998)
Parallel Computer Architecture: A Hardware/Software Approach
-
-
Culler, D.E.1
Singh, J.P.2
Gupta, A.3
-
24
-
-
0026854499
-
The message-driven processor: A multicomputer processing node with efficient mechanisms
-
DALLY, W. J., FISKE, J., KEEN, J., LETHIN, R., NOAKES, M., NUTH, P., DAVISON, R., AND FYLER, G. 1992. The message-driven processor: a multicomputer processing node with efficient mechanisms. IEEE Micro 12, 2, 23-39.
-
(1992)
IEEE Micro
, vol.12
, Issue.2
, pp. 23-39
-
-
Dally, W.J.1
Fiske, J.2
Keen, J.3
Lethin, R.4
Noakes, M.5
Nuth, P.6
Davison, R.7
Fyler, G.8
-
25
-
-
0002911580
-
Multithreaded architectures: Principles, projects, and issues
-
R. A. Iannucci, G. R. Gao, R. Halstead, and B. J. Smith, Eds. Kluwer Boston, MA, Dordrecht, The Netherlands, London, U.K.
-
DENNIS, J. B. AND GAO, G. R. 1994. Multithreaded architectures: principles, projects, and issues. In Multithreaded Computer Architecture: A Summary of the State of the Art, R. A. Iannucci, G. R. Gao, R. Halstead, and B. J. Smith, Eds. Kluwer Boston, MA, Dordrecht, The Netherlands, London, U.K. 1-74.
-
(1994)
Multithreaded Computer Architecture: A Summary of the State of the Art
, pp. 1-74
-
-
Dennis, J.B.1
Gao, G.R.2
-
26
-
-
0000976599
-
COOL multithreading in HTMT SPELL-1 processors
-
DOROJEVETS, M. 2000. COOL multithreading in HTMT SPELL-1 processors. Int. J. High Speed Electron. Sys. 10, 1, 247-253.
-
(2000)
Int. J. High Speed Electron. Sys.
, vol.10
, Issue.1
, pp. 247-253
-
-
Dorojevets, M.1
-
27
-
-
0009361641
-
The El'brus-3 and MARS-M: Recent advances in Russian high-performance computing
-
DOROZHEVETS, M. N. AND WOLCOTT, P. 1992. The El'brus-3 and MARS-M: recent advances in Russian high-performance computing. J. Supercomput. 6, 1, 5-48.
-
(1992)
J. Supercomput.
, vol.6
, Issue.1
, pp. 5-48
-
-
Dorozhevets, M.N.1
Wolcott, P.2
-
28
-
-
13944249732
-
Single-program speculative multithreading (SPSM) architecture: Compiler-assisted fine-grain multithreading
-
IBM, Yorktown Heights, NY
-
DUBEY, P. K., O'BRIEN, K., O'BRIEN, K. M., AND BARTON, C. 1995. Single-program speculative multithreading (SPSM) architecture: compiler-assisted fine-grain multithreading. Tech. Rep. RC 19928. IBM, Yorktown Heights, NY.
-
(1995)
Tech. Rep.
, vol.RC 19928
-
-
Dubey, P.K.1
O'Brien, K.2
O'Brien, K.M.3
Barton, C.4
-
29
-
-
0031237789
-
Simultaneous multithreading: A platform for next-generation processors
-
EGGERS, S. J., EMER, J. S., LEVY, H. M., LO, J. L., STAMM, R. M., AND TULLSEN, D. M. 1997. Simultaneous multithreading: a platform for next-generation processors. IEEE Micro 17, 5, 12-19.
-
(1997)
IEEE Micro
, vol.17
, Issue.5
, pp. 12-19
-
-
Eggers, S.J.1
Emer, J.S.2
Levy, H.M.3
Lo, J.L.4
Stamm, R.M.5
Tullsen, D.M.6
-
30
-
-
0003336316
-
Simultaneous multithreading: Multiplying Alpha's performance
-
San Jose, CA
-
EMER, J. S. 1999. Simultaneous multithreading: multiplying Alpha's performance. In Proceedings of the Microprocessor Forum (San Jose, CA).
-
(1999)
Proceedings of the Microprocessor Forum
-
-
Emer, J.S.1
-
31
-
-
0031238147
-
Exploiting instruction- And data-level parallelism
-
ESPASA, R. AND VALERO, M. 1997. Exploiting instruction- and data-level parallelism. IEEE Micro 17, 5, 20-27.
-
(1997)
IEEE Micro
, vol.17
, Issue.5
, pp. 20-27
-
-
Espasa, R.1
Valero, M.2
-
32
-
-
0029547346
-
The M-machine multicomputer
-
Ann Arbor, MI
-
FILLO, M., KECKLER, S. W., DALLY, W. J., CARTER, N. P., CHANG, A., AND GUREVICH, Y. 1995. The M-machine multicomputer. In Proceedings of the 28th Annual International Symposium on Microarchitecture (Ann Arbor, MI). 146-156.
-
(1995)
Proceedings of the 28th Annual International Symposium on Microarchitecture
, pp. 146-156
-
-
Fillo, M.1
Keckler, S.W.2
Dally, W.J.3
Carter, N.P.4
Chang, A.5
Gurevich, Y.6
-
33
-
-
84947916117
-
HPP: A high performance PRAM
-
Springer-Verlag, Heidelberg, Germany
-
FORMELLA, A., KELLER, J., AND WALLE, T. 1996. HPP: A high performance PRAM. In Lecture Notes in Computer Science, vol. 1123. Springer-Verlag, Heidelberg, Germany. 425-434.
-
(1996)
Lecture Notes in Computer Science
, vol.1123
, pp. 425-434
-
-
Formella, A.1
Keller, J.2
Walle, T.3
-
34
-
-
0003675845
-
The multiscalar architecture
-
Department of Computer Science, University of Wisconsin-Madison, Madison, WI
-
FRANKLIN, M. 1993. The multiscalar architecture. Tech. Rep. 1196. Department of Computer Science, University of Wisconsin-Madison, Madison, WI.
-
(1993)
Tech. Rep.
, vol.1196
-
-
Franklin, M.1
-
35
-
-
84863331414
-
Real-time garbage collection for a multithreaded Java microcontroller
-
Magdeburg, Germany
-
FUHRMANN, S., PFEFFER, M., KREUZINGER, J., UNGERER, T., AND BRINKSCHULTE, U. 2001. Real-time garbage collection for a multithreaded Java microcontroller. In Proceedings of the 4th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (Magdeburg, Germany). 69-76.
-
(2001)
Proceedings of the 4th IEEE International Symposium on Object-oriented Real-time Distributed Computing
, pp. 69-76
-
-
Fuhrmann, S.1
Pfeffer, M.2
Kreuzinger, J.3
Ungerer, T.4
Brinkschulte, U.5
-
36
-
-
33746679521
-
-
Lexra Inc., Waltham, MA. White paper
-
GELINAS, B., HAYS, P., AND KATZMAN, S. 2002. Fine-grained hardware multi-threading: A CPU architecture for high-touch packed processing. Lexra Inc., Waltham, MA. White paper.
-
(2002)
Fine-grained Hardware Multi-threading: A CPU Architecture for High-touch Packed Processing
-
-
Gelinas, B.1
Hays, P.2
Katzman, S.3
-
37
-
-
33746700471
-
Network processors mature in 2001
-
February 19, 2002 (online journal)
-
GLASKOWSKY, P. N. 2002. Network processors mature in 2001. Microproc. Report. February 19, 2002 (online journal).
-
(2002)
Microproc. Report
-
-
Glaskowsky, P.N.1
-
38
-
-
0009376053
-
Towards extremely fast context switching in a blockmultithreaded processor
-
Prague, Czech Republic
-
GRÜNEWALD, W. AND UNGERER, T. 1996. Towards extremely fast context switching in a blockmultithreaded processor. In Proceedings of the 22nd Euromicro Conference (Prague, Czech Republic). 592-599.
-
(1996)
Proceedings of the 22nd Euromicro Conference
, pp. 592-599
-
-
Grünewald, W.1
Ungerer, T.2
-
41
-
-
0009361044
-
DanSoft develops VLIW design
-
Feb. 17
-
GWENNAP, L. 1997. DanSoft develops VLIW design. Microproc. Report 11, 2 (Feb. 17), 18-22.
-
(1997)
Microproc. Report
, vol.11
, Issue.2
, pp. 18-22
-
-
Gwennap, L.1
-
42
-
-
84976721284
-
MULTILISP: A language for concurrent symbolic computation
-
HALSTEAD, R. H. 1985. MULTILISP: a language for concurrent symbolic computation. ACM Trans. Program. Lang. Syst. 7, 4, 501-538.
-
(1985)
ACM Trans. Program. Lang. Syst.
, vol.7
, Issue.4
, pp. 501-538
-
-
Halstead, R.H.1
-
44
-
-
0009376728
-
Considerations in the design of Hydra: A multiprocessor-on-chip microarchitecture
-
Computer Systems Laboratory, Stanford University, Stanford, CA
-
HAMMOND, L. AND OLUKOTUN, K. 1998. Considerations in the design of Hydra: a multiprocessor-on-chip microarchitecture. Tech. Rep. CSL-TR-98-749. Computer Systems Laboratory, Stanford University, Stanford, CA.
-
(1998)
Tech. Rep.
, vol.CSL-TR-98-749
-
-
Hammond, L.1
Olukotun, K.2
-
45
-
-
3743061467
-
MicroUnity's MediaProcessor architecture
-
HANSEN, C. 1996. MicroUnity's MediaProcessor architecture. IEEE Micro 16, 4, 34-41.
-
(1996)
IEEE Micro
, vol.16
, Issue.4
, pp. 34-41
-
-
Hansen, C.1
-
46
-
-
0026869325
-
An elementary processor architecture with simultaneous instruction issuing from multiple threads
-
Gold Coast, Australia
-
HIRATA, H., KIMURA, K., NAGAMINE, S., MOCHIZUM, Y., NISHIMURA, A., NAKASE, Y., AND NISHIZAWA, T. 1992. An elementary processor architecture with simultaneous instruction issuing from multiple threads. In Proceedings of the 19th International Symposium on Computer Architecture (Gold Coast, Australia). 136-145.
-
(1992)
Proceedings of the 19th International Symposium on Computer Architecture
, pp. 136-145
-
-
Hirata, H.1
Kimura, K.2
Nagamine, S.3
Mochizum, Y.4
Nishimura, A.5
Nakase, Y.6
Nishizawa, T.7
-
47
-
-
0004160487
-
-
IANNUCCI, R. A., GAO, G. R., HALSTEAD, R., AND SMITH, B. J., Eds.. Kluwer Boston, MA, Dordrecht, The Netherlands, London, U.K
-
IANNUCCI, R. A., GAO, G. R., HALSTEAD, R., AND SMITH, B. J., Eds. 1994. Multithreaded Computer Architecture: A Summary of the State of the Art. Kluwer Boston, MA, Dordrecht, The Netherlands, London, U.K.
-
(1994)
Multithreaded Computer Architecture: A Summary of the State of the Art
-
-
-
48
-
-
33746692216
-
IBM network processor
-
IBM, Yorktown Heights, NY
-
IBM CORPORATION. 1999. IBM network processor. Product overview. IBM, Yorktown Heights, NY.
-
(1999)
Product Overview
-
-
-
49
-
-
33746670910
-
Intel Internet exchange architecture network processors: Flexible, wire-speed processing from the customer premises to the network core
-
Intel, Santa Clara, CA
-
INTEL CORPORATION. 2002. Intel Internet exchange architecture network processors: flexible, wire-speed processing from the customer premises to the network core. White paper. Intel, Santa Clara, CA.
-
(2002)
White Paper
-
-
-
50
-
-
84949521500
-
Implementing an efficient vector instruction set in a chip multi-processor using micro-threaded pipelines
-
JESSHOPE, C. R. 2001. Implementing an efficient vector instruction set in a chip multi-processor using micro-threaded pipelines. Aust. Comput. Sci. Commun. 23, 4, 80-88.
-
(2001)
Aust. Comput. Sci. Commun.
, vol.23
, Issue.4
, pp. 80-88
-
-
Jesshope, C.R.1
-
52
-
-
0009442393
-
A non-blocking multithreaded architecture
-
Madras, India
-
KAVI, K. M., LEVINE, D. L., AND HURSON, A. R. 1997. A non-blocking multithreaded architecture. In Proceedings of the 5th International Conference on Advanced Computing (Madras, India). 171-177.
-
(1997)
Proceedings of the 5th International Conference on Advanced Computing
, pp. 171-177
-
-
Kavi, K.M.1
Levine, D.L.2
Hurson, A.R.3
-
53
-
-
0007993303
-
Dynamic hammock predication for non-predicated instruction sets
-
Paris, France
-
KLAUSER, A., AUSTIN, T., GRUNWALD, D., AND CALDER, B. 1998a. Dynamic hammock predication for non-predicated instruction sets. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (Paris, France). 278-285.
-
(1998)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 278-285
-
-
Klauser, A.1
Austin, T.2
Grunwald, D.3
Calder, B.4
-
54
-
-
0031594004
-
Selective eager execution on the PolyPath architecture
-
Barcelona, Spain
-
KLAUSER, A., PAITHANKAR, A., AND GRUNWALD, D. 1998b. Selective eager execution on the PolyPath architecture. In Proceedings of the 25th Annual International Symposium on Computer Architecture (Barcelona, Spain). 250-259.
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture
, pp. 250-259
-
-
Klauser, A.1
Paithankar, A.2
Grunwald, D.3
-
55
-
-
84953260150
-
Real-time scheduling on multithreaded processors
-
Cheju Island, South Korea
-
KREUZINGER, J., SCHULZ, A., PFEFFER, M., UNGERER, T., BRINKSCHULTE, U., AND KRAKOWSH, G. 2000. Real-time scheduling on multithreaded processors. In Proceedings of the 7th International Conference on Real-Time Computer Systems and Applications (Cheju Island, South Korea). 155-159.
-
(2000)
Proceedings of the 7th International Conference on Real-Time Computer Systems and Applications
, pp. 155-159
-
-
Kreuzinger, J.1
Schulz, A.2
Pfeffer, M.3
Ungerer, T.4
Brinkschulte, U.5
Krakowsh, G.6
-
56
-
-
33746780368
-
Context-switching techniques for decoupled multithreaded processors
-
Milan, Italy
-
KREUZINGER, J. AND UNGERER, T. 1999. Context-switching techniques for decoupled multithreaded processors. In Proceedings of the 25th Euromicro Conference (Milan, Italy). 1:248-251.
-
(1999)
Proceedings of the 25th Euromicro Conference
, vol.1
, pp. 248-251
-
-
Kreuzinger, J.1
Ungerer, T.2
-
58
-
-
84976738400
-
Interleaving: A multithreading technique targeting multiprocessors and workstations
-
San Jose, CA
-
LAUDON, J., GUPTA, A., AND HOROWITZ, M. 1994. Interleaving: a multithreading technique targeting multiprocessors and workstations. In Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems (San Jose, CA). 308-318.
-
(1994)
Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 308-318
-
-
Laudon, J.1
Gupta, A.2
Horowitz, M.3
-
60
-
-
84957692552
-
Compiler techniques for concurrent multithreading with hardware speculation support
-
Springer-Verlag, Heidelberg, Germany
-
LI, Z., TSAI, J. Y., WANG, X., YEW, P. C., AND ZHENG, B. 1996. Compiler techniques for concurrent multithreading with hardware speculation support. In Lecture Notes in Computer Science, vol. 1239. Springer-Verlag, Heidelberg, Germany. 175-191.
-
(1996)
Lecture Notes in Computer Science
, vol.1239
, pp. 175-191
-
-
Li, Z.1
Tsai, J.Y.2
Wang, X.3
Yew, P.C.4
Zheng, B.5
-
61
-
-
21744451773
-
The performance potential of value and dependence prediction
-
Springer-Verlag, Heidelberg, Germany
-
LIPASTI, M. H. AND SHEN, J. P. 1997. The performance potential of value and dependence prediction. In Lecture Notes Computer Science, vol. 1300. Springer-Verlag, Heidelberg, Germany. 1043-1052.
-
(1997)
Lecture Notes Computer Science
, vol.1300
, pp. 1043-1052
-
-
Lipasti, M.H.1
Shen, J.P.2
-
62
-
-
0030265013
-
Value locality and load value prediction
-
Cambridge, MA
-
LIPASTI, M. H., WILKERSON, C. B., AND SHEN, J. P. 1996. Value locality and load value prediction. In Proceedings of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems (Cambridge, MA). 138-147.
-
(1996)
Proceedings of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 138-147
-
-
Lipasti, M.H.1
Wilkerson, C.B.2
Shen, J.P.3
-
63
-
-
0031594020
-
An analysis of database workload performance on simultaneous multithreaded processors
-
Barcelona, Spain
-
LO, J. L., BARROSO, L. A., EGGERS, S. J., GHARACHORLOO, K., LEVY, H. M., AND PAREKH, S. S. 1998. An analysis of database workload performance on simultaneous multithreaded processors. In Proceedings of the 25th Annual International Symposium on Computer Architecture (Barcelona, Spain). 39-50.
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture
, pp. 39-50
-
-
Lo, J.L.1
Barroso, L.A.2
Eggers, S.J.3
Gharachorloo, K.4
Levy, H.M.5
Parekh, S.S.6
-
64
-
-
0031199614
-
Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading
-
LO, J. L., EGGERS, S. J., EMER, J. S., LEW, H. M., STAMM, R. L., AND TULLSEN, D. M. 1997. Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading. ACM Trans. Comput. Syst. 15, 3, 322-354.
-
(1997)
ACM Trans. Comput. Syst.
, vol.15
, Issue.3
, pp. 322-354
-
-
Lo, J.L.1
Eggers, S.J.2
Emer, J.S.3
Lew, H.M.4
Stamm, R.L.5
Tullsen, D.M.6
-
66
-
-
0009382967
-
The events approach to rapid prototyping for embedded control system
-
Rostock, Germany
-
LÜTH, K., METZNER, A., PIEKENKAMP, T., AND RISU, J. 1997. The events approach to rapid prototyping for embedded control system. In Proceedings of the Workshop Zielarchitekturen, eingebetteter Syststeme (Rostock, Germany). 45-54.
-
(1997)
Proceedings of the Workshop Zielarchitekturen, Eingebetteter Syststeme
, pp. 45-54
-
-
Lüth, K.1
Metzner, A.2
Piekenkamp, T.3
Risu, J.4
-
67
-
-
0009440567
-
CHoPP prieiples of operations
-
Mannheim, Germany
-
MANKOVIC, T. E., POPESCU, V., AND SULLIVAN, H. 1987. CHoPP prieiples of operations. In Proceedings of the 2nd International Supercomputer Conference (Mannheim, Germany). 2-10.
-
(1987)
Proceedings of the 2nd International Supercomputer Conference
, pp. 2-10
-
-
Mankovic, T.E.1
Popescu, V.2
Sullivan, H.3
-
68
-
-
0031639308
-
Speculative multithreaded processors
-
Melbourne, Australia
-
MARCUELLO, P., GONZALES, A., AND TUBELLA, J. 1998. Speculative multithreaded processors. In Proceedings of the 12th International Conference on Supercomputing (Melbourne, Australia). 77-84.
-
(1998)
Proceedings of the 12th International Conference on Supercomputing
, pp. 77-84
-
-
Marcuello, P.1
Gonzales, A.2
Tubella, J.3
-
69
-
-
0038059736
-
Hyper-threading technology architecture and microarchitecture: A hypertext history
-
online journal
-
MARK, D. T., BINNS, F., HILL, D. L., HINTON, G., KOUFATY, D. A., MILLER, J. A., AND UPTON, M. 2002. Hyper-threading technology architecture and microarchitecture: a hypertext history. Intel Technology J. 6, 1 (online journal).
-
(2002)
Intel Technology J.
, vol.6
, Issue.1
-
-
Mark, D.T.1
Binns, F.2
Hill, D.L.3
Hinton, G.4
Koufaty, D.A.5
Miller, J.A.6
Upton, M.7
-
70
-
-
0009415133
-
MSparc: Multithreading in real-time architectures
-
METZNER, A. AND NIEHAUS, J. 2000. MSparc: multithreading in real-time architectures. J. Universal Comput. Sci. 6, 10, 1034-1051.
-
(2000)
J. Universal Comput. Sci.
, vol.6
, Issue.10
, pp. 1034-1051
-
-
Metzner, A.1
Niehaus, J.2
-
71
-
-
84947937870
-
Msparc: A multithreaded Spare
-
Springer-Verlag, Heidelberg, Germany
-
MIKSCHL, A. AND DAMM, W. 1996. Msparc: a multithreaded Spare. In Lecture Notes in Computer Science, vol. 1123. Springer-Verlag, Heidelberg, Germany. 461-469.
-
(1996)
Lecture Notes in Computer Science
, vol.1123
, pp. 461-469
-
-
Mikschl, A.1
Damm, W.2
-
72
-
-
0033365580
-
MPEG-2 video decompression on simultaneous multithreaded multimedia processors
-
Newport Beach, CA
-
OEHRING, H., SIGMUND, U., AND UNGERER, T. 1999a. MPEG-2 video decompression on simultaneous multithreaded multimedia processors. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (Newport Beach, CA). 11-16.
-
(1999)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 11-16
-
-
Oehring, H.1
Sigmund, U.2
Ungerer, T.3
-
73
-
-
0042073882
-
Simultaneous multithreading and multimedia
-
Orlando, FL
-
OEHRING, H., SIGMUND, U., AND UNGERER, T. 1999b. Simultaneous multithreading and multimedia. In Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation (Orlando, FL).
-
(1999)
Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation
-
-
Oehring, H.1
Sigmund, U.2
Ungerer, T.3
-
74
-
-
0031235595
-
One billion transistors, one uniprocessor, one chip
-
PATT, Y. N., PATEL, S. J., EVERS, M., FRIENDLY, D. H., AND STARK, J. 1997. One billion transistors, one uniprocessor, one chip. Computer 30, 9, 51-57.
-
(1997)
Computer
, vol.30
, Issue.9
, pp. 51-57
-
-
Patt, Y.N.1
Patel, S.J.2
Evers, M.3
Friendly, D.H.4
Stark, J.5
-
75
-
-
84858937798
-
Real PRAM programming
-
Springer-Verlag, Heidelberg, Germany
-
PAUL, W. J., BACH, P., BOSCH, M., FISCHER, J., LICHTENAU, C., AND RÖHRIG, J. 2002. Real PRAM programming. In Lecture Notes in Computer Science, vol. 2400. Springer-Verlag, Heidelberg, Germany. 522-531.
-
(2002)
Lecture Notes in Computer Science
, vol.2400
, pp. 522-531
-
-
Paul, W.J.1
Bach, P.2
Bosch, M.3
Fischer, J.4
Lichtenau, C.5
Röhrig, J.6
-
77
-
-
0031374420
-
Trace processors
-
Research Triangle Park, NC
-
ROTENBERG, E., JACOBSON, Q., SAZEIDES, Y., AND SMITH, J. E. 1997. Trace processors. In Proceedings of the 30th Annual International Symposium on Microarchitecture (Research Triangle Park, NC). 138-148.
-
(1997)
Proceedings of the 30th Annual International Symposium on Microarchitecture
, pp. 138-148
-
-
Rotenberg, E.1
Jacobson, Q.2
Sazeides, Y.3
Smith, J.E.4
-
78
-
-
78149276203
-
Efficiency and performance impact of value prediction
-
Paris, France
-
RYCHLIK, B., FAISTL, J., KRUG, B., AND SHEN, J. P. 1998. Efficiency and performance impact of value prediction. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (Paris, France). 148-154.
-
(1998)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 148-154
-
-
Rychlik, B.1
Faistl, J.2
Krug, B.3
Shen, J.P.4
-
79
-
-
0033696388
-
Power-sensitive multithreaded architecture
-
Austin, TX
-
SENG, J. S., TULLSEN, D. M., AND CAI, G. Z. N. 2000. Power-sensitive multithreaded architecture. In Proceedings of the IEEE International Conference on Computer design: VLSI in Computers and Processors (Austin, TX). 199-206.
-
(2000)
Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors
, pp. 199-206
-
-
Seng, J.S.1
Tullsen, D.M.2
Cai, G.Z.N.3
-
80
-
-
0009383533
-
Performance estimation in a multistreamed superscalar processor
-
Springer-Verlag, Heidelberg, Germany
-
SERRANO, M. J., YAMAMOTO, W., WOOD, R., AND NEMIROVSKY, M. D. 1994. Performance estimation in a multistreamed superscalar processor. In Lecture Notes in Computer Science, vol. 794. Springer-Verlag, Heidelberg, Germany. 213-230.
-
(1994)
Lecture Notes in Computer Science
, vol.794
, pp. 213-230
-
-
Serrano, M.J.1
Yamamoto, W.2
Wood, R.3
Nemirovsky, M.D.4
-
81
-
-
0142191132
-
Transistor count and chip space assessment of multimedia-enhanced simultaneous multithreaded processors
-
Monterrey, CA
-
SIGMUND, U., STEINHAUS, M., AND UNGERER, T. 2000. Transistor count and chip space assessment of multimedia-enhanced simultaneous multithreaded processors. In Proceedings of the 4th Workshop on Multithreaded Execution, Architecture and Compilation (Monterrey, CA).
-
(2000)
Proceedings of the 4th Workshop on Multithreaded Execution, Architecture and Compilation
-
-
Sigmund, U.1
Steinhaus, M.2
Ungerer, T.3
-
83
-
-
84889036959
-
Identifying bottlenecks in multithreaded superscalar multiprocessors
-
Springer-Verlag, Heidelberg, Germany
-
SIGMUND, U. AND UNGERER, T. 1996b. Identifying bottlenecks in multithreaded superscalar multiprocessors. In Lecture Notes in Computer Science, vol. 1123. Springer-Verlag, Heidelberg, Germany. 797-800.
-
(1996)
Lecture Notes in Computer Science
, vol.1123
, pp. 797-800
-
-
Sigmund, U.1
Ungerer, T.2
-
84
-
-
0039285280
-
Asynchrony in parallel computing: From dataflow to multithreading
-
ŠILC, J., ROBIČ, B., AND UNGERER, T. 1998. Asynchrony in parallel computing: from dataflow to multithreading. Parall. Distr. Comput. Practices 1, 1, 57-83.
-
(1998)
Parall. Distr. Comput. Practices
, vol.1
, Issue.1
, pp. 57-83
-
-
Šilc, J.1
Robič, B.2
Ungerer, T.3
-
85
-
-
0004204741
-
-
Springer-Verlag, Heidelberg and Berlin, Germany, and New York, NY
-
ŠILC, J., ROBIČ, B., AND UNGERER, T. 1999. Processor Architecture: From Dataflow to Superscalar and Beyond. Springer-Verlag, Heidelberg and Berlin, Germany, and New York, NY.
-
(1999)
Processor Architecture: from Dataflow to Superscalar and beyond
-
-
Šilc, J.1
Robič, B.2
Ungerer, T.3
-
86
-
-
0020289466
-
Architecture and applications of the HEP multiprocessor computer system
-
SMITH, B. J. 1981. Architecture and applications of the HEP multiprocessor computer system. SPIE Real-Time Signal Processing IV 298, 241-248.
-
(1981)
SPIE Real-time Signal Processing IV
, vol.298
, pp. 241-248
-
-
Smith, B.J.1
-
87
-
-
0009384049
-
The architecture of hep
-
J. S. Kowalik, Ed. MIT Press, Cambridge, MA
-
SMITH, B. J. 1985. The architecture of hep. In Parallel MIMD Computation: HEP Supercomputer and Its Applications, J. S. Kowalik, Ed. MIT Press, Cambridge, MA, 41-55.
-
(1985)
Parallel MIMD Computation: HEP Supercomputer and Its Applications
, pp. 41-55
-
-
Smith, B.J.1
-
88
-
-
0031234685
-
Trace processors: Moving to fourth-generation microarchitectures
-
SMITH, J. E. AND VAJAPEYAM, S. 1997. Trace processors: moving to fourth-generation microarchitectures. Computer 30, 9, 68-74.
-
(1997)
Computer
, vol.30
, Issue.9
, pp. 68-74
-
-
Smith, J.E.1
Vajapeyam, S.2
-
89
-
-
0009438621
-
Multiscalar: Another fourth-generation processor
-
SOHI, G. S. 1997. Multiscalar: another fourth-generation processor. Computer 30, 9, 72.
-
(1997)
Computer
, vol.30
, Issue.9
, pp. 72
-
-
Sohi, G.S.1
-
90
-
-
23044523418
-
Microprocessors - 10 years back, 10 years ahead
-
Heidelberg, Germany
-
SOHI, G. S. 2001. Microprocessors - 10 years back, 10 years ahead. In Lecture Notes in Computer Science, vol. 2000. Heidelberg, Germany. 208-218.
-
(2001)
Lecture Notes in Computer Science
, vol.2000
, pp. 208-218
-
-
Sohi, G.S.1
-
91
-
-
0029178210
-
Multiscalar processors
-
Santa Margherita Ligure, Italy
-
SOHI, G. S., BREACH, S. E., AND VIJAYKUJIAR, T. N. 1995. Multiscalar processors. In Proceedings of the 22nd Annual International Symposium on, Computer Architecture (Santa Margherita Ligure, Italy). 414-425.
-
(1995)
Proceedings of the 22nd Annual International Symposium On, Computer Architecture
, pp. 414-425
-
-
Sohi, G.S.1
Breach, S.E.2
Vijaykujiar, T.N.3
-
92
-
-
1542750698
-
Transistor count and chip space estimation of simple-scalar-based microprocessor models
-
Göteborg, Sweden
-
STEINHAUS, M., KOLLA, R., LARRIBA-PEY, J. L., UNGERER, T., AND VALERO, M. 2001. Transistor count and chip space estimation of simple-scalar-based microprocessor models. In Proceedings of the Workshop on Complexity-Effective Design (Göteborg, Sweden).
-
(2001)
Proceedings of the Workshop on Complexity-effective Design
-
-
Steinhaus, M.1
Kolla, R.2
Larriba-Pey, J.L.3
Ungerer, T.4
Valero, M.5
-
93
-
-
0009315170
-
Beyond 100 teraflops through superconductors, holographic storage, and the data vortex
-
Tokyo, Japan
-
STEALING, T. 1997. Beyond 100 teraflops through superconductors, holographic storage, and the data vortex. In Proceedings of the International Symposium on Supercomputing (Tokyo, Japan).
-
(1997)
Proceedings of the International Symposium on Supercomputing
-
-
Stealing, T.1
-
94
-
-
0036298603
-
POWER4 system microarchitecture
-
TENDLER, J. M., DODSON, J. S., FIELDS, JR., J. S., LE, H., AND SINHAROY, B. 2002. POWER4 system microarchitecture. IBM J. Res. Dev. 46, 1, 5-26.
-
(2002)
IBM J. Res. Dev.
, vol.46
, Issue.1
, pp. 5-26
-
-
Tendler, J.M.1
Dodson, J.S.2
Fields Jr., J.S.3
Le, H.4
Sinharoy, B.5
-
95
-
-
0009424876
-
-
Texas Instruments, Dallas, TX
-
TEXAS INSTRUMENTS. 1994. TMS320C80 Technical brief. Texas Instruments, Dallas, TX.
-
(1994)
TMS320C80 Technical Brief
-
-
-
97
-
-
0009320987
-
A VLIW convergent multiprocessor system on a chip
-
San Jose, CA
-
TREMBLAY, M. 1999. A VLIW convergent multiprocessor system on a chip. In Proceedings of the Microprocessor Forum (San Jose, CA).
-
(1999)
Proceedings of the Microprocessor Forum
-
-
Tremblay, M.1
-
98
-
-
0034316177
-
The MAJC architecture: A synthesis of parallelism and scalability
-
TREMBLAY, M., CHAN, J., CHAUDHRY, S., CONIGLIARO, A. W., AND TSE, S. S. 2000. The MAJC architecture: a synthesis of parallelism and scalability. IEEE Micro 20, 6, 12-25.
-
(2000)
IEEE Micro
, vol.20
, Issue.6
, pp. 12-25
-
-
Tremblay, M.1
Chan, J.2
Chaudhry, S.3
Conigliaro, A.W.4
Tse, S.S.5
-
100
-
-
0029666641
-
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
-
Philadelphia, PA
-
TULLSEN, D. M., EGGERS, S. J., EMER, J. S., LEVY, H. M., LO, J. L., AND STAMM, R. L. 1996. Exploiting choice: instruction fetch and issue on an implementable simultaneous multithreading processor. In Proceedings of the 23rd Annual International Symposium on Computer Architecture (Philadelphia, PA). 191-202.
-
(1996)
Proceedings of the 23rd Annual International Symposium on Computer Architecture
, pp. 191-202
-
-
Tullsen, D.M.1
Eggers, S.J.2
Emer, J.S.3
Levy, H.M.4
Lo, J.L.5
Stamm, R.L.6
-
101
-
-
0029200683
-
Simultaneous multithreading: Maximizing on-chip parallelism
-
Santa Margherita Ligure, Italy
-
TULLSEN, D. M., EGGERS, S. J., AND LEVY, H. M. 1995. Simultaneous multithreading: maximizing on-chip parallelism. In Proceedings of the 22nd Annual International Symposium on Computer Architecture (Santa Margherita Ligure, Italy). 392-403.
-
(1995)
Proceedings of the 22nd Annual International Symposium on Computer Architecture
, pp. 392-403
-
-
Tullsen, D.M.1
Eggers, S.J.2
Levy, H.M.3
-
102
-
-
0032786014
-
Supporting fine-grained synchronization on a simultaneous multithreading processor
-
Orlando, FL
-
TULLSEN, D. M., Lo, J. L., EGGERS, S. J., AND LEVY, H. M. 1999. Supporting fine-grained synchronization on a simultaneous multithreading processor. In Proceedings of the 5th International Symposium on High-Performance Computer Architecture (Orlando, FL). 54-58.
-
(1999)
Proceedings of the 5th International Symposium on High-performance Computer Architecture
, pp. 54-58
-
-
Tullsen, D.M.1
Lo, J.L.2
Eggers, S.J.3
Levy, H.M.4
-
103
-
-
0036267893
-
Multithreaded processors
-
UNGERER, T., ROBIČ, B., AND ŠILC, J. 2002. Multithreaded processors. Computer J. 45, 3, 320-348.
-
(2002)
Computer J.
, vol.45
, Issue.3
, pp. 320-348
-
-
Ungerer, T.1
Robič, B.2
Šilc, J.3
-
107
-
-
0031594005
-
Threaded multiple path execution
-
Barcelona, Spain
-
WALLACE, S., CALDER, B., AND TULLSEN, D. M. 1998. Threaded multiple path execution. In Proceedings of the 25th Annual International Symposium on Computer Architecture (Barcelona, Spain). 238-249.
-
(1998)
Proceedings of the 25th Annual International Symposium on Computer Architecture
, pp. 238-249
-
-
Wallace, S.1
Calder, B.2
Tullsen, D.M.3
-
108
-
-
0032777341
-
Instruction recycling on a multiple-path processor
-
Orlando, FL
-
WALLACE, S., TULLSEN, D. M., AND CALDER, B. 1999. Instruction recycling on a multiple-path processor. In Proceedings of the 5th International Symposium on High-Performance Computer Architecture (Orlando, FL). 44-53.
-
(1999)
Proceedings of the 5th International Symposium on High-performance Computer Architecture
, pp. 44-53
-
-
Wallace, S.1
Tullsen, D.M.2
Calder, B.3
-
109
-
-
33746774526
-
Adapting and extending simultaneous multithreading for high performance video signal processing applications
-
Orlando, FL
-
WITTENBURG, J. P., MEYER, G., AND PIRSCH, P. 1999. Adapting and extending simultaneous multithreading for high performance video signal processing applications. In Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation (Orlando, FL).
-
(1999)
Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation
-
-
Wittenburg, J.P.1
Meyer, G.2
Pirsch, P.3
|