-
1
-
-
0026925622
-
Performance tradeoffs in multithreaded processors
-
AGARWAL, A. 1992. Performance tradeoffs in multithreaded processors. IEEE Trans. Parallel Distrib. Syst. 3, 5 (Sept.), 525-539.
-
(1992)
IEEE Trans. Parallel Distrib. Syst.
, vol.3
, Issue.5 SEPT.
, pp. 525-539
-
-
Agarwal, A.1
-
3
-
-
0003979521
-
-
Holt, Rinehart, and Winston, New York
-
BOYLE, J., BUTLER, R., DIAZ, T. GLICKFELD, B., LUSK, E., OVERBEEK, R., PATTERSON, J., AND STEVENS, R. 1987. Portable Programs for Parallel Processors. Holt, Rinehart, and Winston, New York.
-
(1987)
Portable Programs for Parallel Processors
-
-
Boyle, J.1
Butler, R.2
Diaz, T.3
Glickfeld, B.4
Lusk, E.5
Overbeek, R.6
Patterson, J.7
Stevens, R.8
-
5
-
-
0002444453
-
The concurrent execution of multiple instruction streams on superscalar processors
-
DADDIS, G., JR. AND TORNG, H. 1991. The concurrent execution of multiple instruction streams on superscalar processors. In the International Conference on Parallel Processing (Aug.). I:76-83.
-
(1991)
International Conference on Parallel Processing
, Issue.1 AUG.
, pp. 76-83
-
-
Daddis G., Jr.1
Torng, H.2
-
6
-
-
0026821098
-
New CPU benchmark suites from SPEC
-
DIXIT, K. 1992. New CPU benchmark suites from SPEC. In COMPCON '92 Digest of Papers. 305-310.
-
(1992)
COMPCON '92 Digest of Papers
, pp. 305-310
-
-
Dixit, K.1
-
7
-
-
0029292848
-
Superscalar instruction execution in the 21164 Alpha microprocessor
-
EDMONDSON, J., RUBINFELD, P., PRESTON, R., AND RAJAGOPALAN, V. 1995. Superscalar instruction execution in the 21164 Alpha microprocessor. IEEE Micro 15, 2 (Apr.), 33-43.
-
(1995)
IEEE Micro
, vol.15
, Issue.2 APR.
, pp. 33-43
-
-
Edmondson, J.1
Rubinfeld, P.2
Preston, R.3
Rajagopalan, V.4
-
8
-
-
0029547346
-
The M-Machine multicomputer
-
FILLO, M., KECKLER, S., DALLY, W., CARTER, N., CHANG, A., GUREVICH, Y., AND LEE, W. 1995. The M-Machine multicomputer. In the 28th Annual International Symposium on Microarchitecture (Nov.). 146-156.
-
(1995)
28th Annual International Symposium on Microarchitecture
, Issue.NOV.
, pp. 146-156
-
-
Fillo, M.1
Keckler, S.2
Dally, W.3
Carter, N.4
Chang, A.5
Gurevich, Y.6
Lee, W.7
-
9
-
-
0001366267
-
Strategies for cache and local memory management by global program transformation
-
GANNON, D., JALBY, W., AND GALLIVAN, K. 1988. Strategies for cache and local memory management by global program transformation. J. Parallel Distrib. Comput. 5, 587-616.
-
(1988)
J. Parallel Distrib. Comput.
, vol.5
, pp. 587-616
-
-
Gannon, D.1
Jalby, W.2
Gallivan, K.3
-
10
-
-
0001939775
-
Design and performance evaluation of a multithreaded architecture
-
IEEE, New York
-
GOVINDARAJAN, R., NEMAWARKAR, S., AND LENIR, P. 1995. Design and performance evaluation of a multithreaded architecture. In the 1st IEEE Symposium on High-Performance Computer Architecture (Jan.). IEEE, New York, 298-307.
-
(1995)
1st IEEE Symposium on High-Performance Computer Architecture
, Issue.JAN.
, pp. 298-307
-
-
Govindarajan, R.1
Nemawarkar, S.2
Lenir, P.3
-
13
-
-
0026869325
-
An elementary processor architecture with simultaneous instruction issuing from multiple threads
-
HIRATA, H., KIMURA, K., NAGAMINE, S., MOCHIZUKI, Y., NISHIMURA, A., NAKASE, Y., AND NISHIZAWA, T. 1992. An elementary processor architecture with simultaneous instruction issuing from multiple threads. In the 19th Annual International Symposium on Computer Architecture (May). 136-145.
-
(1992)
19th Annual International Symposium on Computer Architecture
, Issue.MAY
, pp. 136-145
-
-
Hirata, H.1
Kimura, K.2
Nagamine, S.3
Mochizuki, Y.4
Nishimura, A.5
Nakase, Y.6
Nishizawa, T.7
-
14
-
-
0347297047
-
-
IBM Corp., Armonk, N.Y.
-
IBM. 1997. RISC System/6000 model J50. IBM Corp., Armonk, N.Y. Available at http:// www.rs6000.ibm.com/hardware/enterprise/j50.html.
-
(1997)
RISC System/6000 Model J50
-
-
-
16
-
-
0347297043
-
The effects of STEF in finely parallel multithreaded processors
-
IEEE, New York
-
LI, Y. AND CHU, W. 1995. The effects of STEF in finely parallel multithreaded processors. In the 1st IEEE Symposium on High-Performance Computer Architecture (Jan.). IEEE, New York, 318-325.
-
(1995)
1st IEEE Symposium on High-Performance Computer Architecture
, Issue.JAN.
, pp. 318-325
-
-
Li, Y.1
Chu, W.2
-
17
-
-
0027592731
-
The Multiflow trace scheduling compiler
-
LOWNEY, P., FREUDENBERGER, S., KARZES, T., LICHTENSTEIN, W., NIX, R., O'DONNELL, J., AND RUTTENBERG, J. 1993. The Multiflow trace scheduling compiler. J. Supercomput. 7, 1 (May), 51-142.
-
(1993)
J. Supercomput.
, vol.7
, Issue.1 MAY
, pp. 51-142
-
-
Lowney, P.1
Freudenberger, S.2
Karzes, T.3
Lichtenstein, W.4
Nix, R.5
O'Donnell, J.6
Ruttenberg, J.7
-
19
-
-
0029666647
-
Evaluation of design alternatives for a multiprocessor microprocessor
-
NAYFEH, B. A., HAMMOND, L., AND OLUKOTUN, K. 1996. Evaluation of design alternatives for a multiprocessor microprocessor. In the 23rd Annual International Symposium on Computer Architecture (May). 67-77.
-
(1996)
23rd Annual International Symposium on Computer Architecture
, Issue.MAY
, pp. 67-77
-
-
Nayfeh, B.A.1
Hammond, L.2
Olukotun, K.3
-
20
-
-
0030259458
-
The case for a single-chip multiprocessor
-
ACM, New York
-
OLUKOTUN, K., NAYFEH, B. A., HAMMOND, L., WILSON, K., AND CHANG, K. 1996. The case for a single-chip multiprocessor. In the 7th International Conference on Architectural Support for Programming Languages and Operating Systems (Oct.). ACM, New York, 2-11.
-
(1996)
7th International Conference on Architectural Support for Programming Languages and Operating Systems
, Issue.OCT.
, pp. 2-11
-
-
Olukotun, K.1
Nayfeh, B.A.2
Hammond, L.3
Wilson, K.4
Chang, K.5
-
21
-
-
0030676681
-
Complexity-effective superscalar processors
-
PALACHARLA, S., JOUPPI, N. P., AND SMITH, J. E. 1997. Complexity-effective superscalar processors. In the 24th Annual International Symposium on Computer Architecture (June). 206-218.
-
(1997)
24th Annual International Symposium on Computer Architecture
, Issue.JUNE
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, J.E.3
-
23
-
-
0346035644
-
A benchmark evaluation of a multi-threaded RISC processor architecture
-
PRASADH, R. AND WU, C.-L. 1991. A benchmark evaluation of a multi-threaded RISC processor architecture. In the International Conference on Parallel Processing, (Aug.), I:84-91.
-
(1991)
International Conference on Parallel Processing
, Issue.1 AUG.
, pp. 84-91
-
-
Prasadh, R.1
Wu, C.-L.2
-
24
-
-
0025629433
-
Analysis of multithreaded architectures for parallel computing
-
ACM, New York
-
SAAVEDRA-BARRERA, R. H., CULLER, D. E., AND VON EICKEN, T. 1990. Analysis of multithreaded architectures for parallel computing. In the 2nd Annual ACM Symposium on Parallel Algorithms and Architectures (July). ACM, New York, 169-178.
-
(1990)
2nd Annual ACM Symposium on Parallel Algorithms and Architectures
, Issue.JULY
, pp. 169-178
-
-
Saavedra-Barrera, R.H.1
Culler, D.E.2
Von Eicken, T.3
-
25
-
-
0346666618
-
-
Silicon Graphics, Inc., Palo Alto, Calif.
-
SILICON GRAPHICS 1996. The Onyx system family. Silicon Graphics, Inc., Palo Alto, Calif. Available at http://www.sgi.com/Products/hardware/Onyx/Products/sys_lineup.html.
-
(1996)
The Onyx System Family
-
-
-
26
-
-
0346035641
-
SuperSPARC premiers in SPARCstation 10
-
SLATER, M. 1992. SuperSPARC premiers in SPARCstation 10. Microprocess. Rep. (May), 11-13.
-
(1992)
Microprocess. Rep.
, Issue.MAY
, pp. 11-13
-
-
Slater, M.1
-
27
-
-
0029178210
-
Multiscalar processors
-
SOHI, G. S., BREACH, S. E., AND VIJAYKUMAR, T. 1995. Multiscalar processors. In the 22nd Annual International Symposium on Computer Architecture (June). 414-425.
-
(1995)
22nd Annual International Symposium on Computer Architecture
, Issue.JUNE
, pp. 414-425
-
-
Sohi, G.S.1
Breach, S.E.2
Vijaykumar, T.3
-
28
-
-
0346666615
-
-
Sun Microsystems, Inc., Mountain View, Calif.
-
SUN MICROSYSTEMS. 1997. Ultra HPC Series Overview. Sun Microsystems, Inc., Mountain View, Calif. Available at http://www.sun.com/hpc/products/index.html.
-
(1997)
Ultra HPC Series Overview
-
-
-
30
-
-
0029666641
-
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
-
TULLSEN, D. M., EGGERS, S. J., EMER, J. S., LEVY, H. M., LO, J. L., AND STAMM, R. L. 1996. Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In the 23rd Annual International Symposium on Computer Architecture (May). 191-202.
-
(1996)
23rd Annual International Symposium on Computer Architecture
, Issue.MAY
, pp. 191-202
-
-
Tullsen, D.M.1
Eggers, S.J.2
Emer, J.S.3
Levy, H.M.4
Lo, J.L.5
Stamm, R.L.6
-
31
-
-
0029200683
-
Simultaneous multithreading: Maximizing on-chip parallelism
-
TULLSEN, D. M., EGGERS, S. J., AND LEVY, H. M. 1995. Simultaneous multithreading: Maximizing on-chip parallelism. In the 22nd Annual International Symposium on Computer Architecture (June). 392-403.
-
(1995)
22nd Annual International Symposium on Computer Architecture
, Issue.JUNE
, pp. 392-403
-
-
Tullsen, D.M.1
Eggers, S.J.2
Levy, H.M.3
-
32
-
-
0028015211
-
Techniques for extracting instruction level parallelism on MIMD architectures
-
TYSON, G. AND FARRENS, M. 1993. Techniques for extracting instruction level parallelism on MIMD architectures. In the 26th International Symposium on Microarchitecture (Dec.). 128-137.
-
(1993)
26th International Symposium on Microarchitecture
, Issue.DEC.
, pp. 128-137
-
-
Tyson, G.1
Farrens, M.2
-
33
-
-
0027005197
-
MISC: A multiple instruction stream computer
-
TYSON, G., FARRENS, M., AND PLESZKUN, A. R. 1992. MISC: A multiple instruction stream computer. In the 25th International Symposium on Microarchitecture (Dec.). 193-196.
-
(1992)
25th International Symposium on Microarchitecture
, Issue.DEC.
, pp. 193-196
-
-
Tyson, G.1
Farrens, M.2
Pleszkun, A.R.3
-
35
-
-
0024667550
-
Exploring the benefits of multiple hardware contexts in a multiprocessor architecture: Preliminary results
-
WEBER, W. AND GUPTA, A. 1989. Exploring the benefits of multiple hardware contexts in a multiprocessor architecture: Preliminary results. In the 16th Annual International Symposium on Computer Architecture (June). 273-280.
-
(1989)
16th Annual International Symposium on Computer Architecture
, Issue.JUNE
, pp. 273-280
-
-
Weber, W.1
Gupta, A.2
-
36
-
-
0029666643
-
Increasing cache port efficiency for dynamic superscalar microprocessors
-
WILSON, K. M., OLUKOTUN, K., AND ROSENBLUM, M. 1996. Increasing cache port efficiency for dynamic superscalar microprocessors. In the 23rd Annual International Symposium on Computer Architecture (May). 147-157.
-
(1996)
23rd Annual International Symposium on Computer Architecture
, Issue.MAY
, pp. 147-157
-
-
Wilson, K.M.1
Olukotun, K.2
Rosenblum, M.3
-
37
-
-
84976692695
-
SUIF: An infrastructure for research on parallelizing and optimizing compilers
-
WILSON, R., FRENCH, R., WILSON, C., AMARASINGHE, S., ANDERSON, J., TJIANG, S., LIAO, S.-W., TSENG, C.-W., HALL, M., LAM, M., AND HENNESSY, J. 1994. SUIF: An infrastructure for research on parallelizing and optimizing compilers. ACM SIGPLAN Not. 29, 12 (Dec.), 31-37.
-
(1994)
ACM SIGPLAN Not.
, vol.29
, Issue.12 DEC.
, pp. 31-37
-
-
Wilson, R.1
French, R.2
Wilson, C.3
Amarasinghe, S.4
Anderson, J.5
Tjiang, S.6
Liao, S.-W.7
Tseng, C.-W.8
Hall, M.9
Lam, M.10
Hennessy, J.11
-
38
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
WOO, S. C., OHARA, M., TORRIE, E., SINGH, J. P., AND GUPTA, A. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In the 22nd Annual International Symposium on Computer Architecture (June). 24-36.
-
(1995)
22nd Annual International Symposium on Computer Architecture
, Issue.JUNE
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
40
-
-
0028087519
-
Performance estimation of multistreamed, superscalar processors
-
IEEE Computer Society Washington, D.C.
-
YAMAMOTO, W., SERRANO, M. J., TALCOTT, A. R., WOOD, R. C., AND NEMIROVSKY, M. 1994. Performance estimation of multistreamed, superscalar processors. In the 27th Hawaii International Conference on System Sciences (Jan.). IEEE Computer Society Washington, D.C., I:195-204.
-
(1994)
27th Hawaii International Conference on System Sciences
, Issue.1 JAN.
, pp. 195-204
-
-
Yamamoto, W.1
Serrano, M.J.2
Talcott, A.R.3
Wood, R.C.4
Nemirovsky, M.5
-
41
-
-
0030129806
-
The MIPS R10000 superscalar microprocessor
-
YEAGER, K. C. 1996. The MIPS R10000 superscalar microprocessor. IEEE Micro (April), 28-40.
-
(1996)
IEEE Micro.
, Issue.APRIL
, pp. 28-40
-
-
Yeager, K.C.1
|