메뉴 건너뛰기




Volumn , Issue , 2013, Pages 213-224

Jigsaw: Scalable software-defined caches

Author keywords

cache; isolation; memory; NUCA; partitioning

Indexed keywords

ACCESS LATENCY; CACHE; CACHE PARTITIONING; FUNDAMENTAL LIMITATIONS; ISOLATION; LAST-LEVEL CACHES; NUCA; PARTITIONING;

EID: 84887440618     PISSN: 1089795X     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/PACT.2013.6618818     Document Type: Conference Paper
Times cited : (76)

References (46)
  • 1
    • 33947715600 scopus 로고    scopus 로고
    • IPC considered harmful for multiprocessor workloads
    • A. Alameldeen and D. Wood, "IPC considered harmful for multiprocessor workloads," IEEE Micro, vol. 26, no. 4, 2006.
    • (2006) IEEE Micro , vol.26 , Issue.4
    • Alameldeen, A.1    Wood, D.2
  • 2
    • 34548008288 scopus 로고    scopus 로고
    • ASR: Adaptive selective replication for CMP caches
    • B. Beckmann, M. Marty, and D. Wood, "ASR: Adaptive selective replication for CMP caches," in Proc. MICRO-39, 2006.
    • (2006) Proc. MICRO-39
    • Beckmann, B.1    Marty, M.2    Wood, D.3
  • 3
    • 21644472427 scopus 로고    scopus 로고
    • Managing wire delay in large chipmultiprocessor caches
    • B. Beckmann and D. Wood, "Managing wire delay in large chipmultiprocessor caches," in Proc. MICRO-37, 2004.
    • (2004) Proc. MICRO-37
    • Beckmann, B.1    Wood, D.2
  • 4
    • 84887442380 scopus 로고    scopus 로고
    • Jigsaw: Scalable software-defined caches
    • Tech. Rep.
    • N. Beckmann and D. Sanchez, "Jigsaw: Scalable Software-Defined Caches," MIT CSAIL, Tech. Rep., 2013.
    • (2013) MIT CSAIL
    • Beckmann, N.1    Sanchez, D.2
  • 5
    • 70449689185 scopus 로고    scopus 로고
    • The PARSEC benchmark suite: Characterization and architectural implications
    • C. Bienia et al., "The PARSEC benchmark suite: Characterization and architectural implications," in Proc. PACT-17, 2008.
    • (2008) Proc. PACT-17
    • Bienia, C.1
  • 6
    • 84887501582 scopus 로고    scopus 로고
    • PACORA: Performance aware convex optimization for resource allocation
    • S. Bird and B. Smith, "PACORA: Performance aware convex optimization for resource allocation," in Proc. HotPar-3, 2011.
    • (2011) Proc. HotPar-3
    • Bird, S.1    Smith, B.2
  • 7
    • 0013540431 scopus 로고
    • Universal classes of hash functions (extended abstract)
    • J. L. Carter and M. N. Wegman, "Universal classes of hash functions (extended abstract)," in Proc. STOC-9, 1977.
    • (1977) Proc. STOC-9
    • Carter, J.L.1    Wegman, M.N.2
  • 8
    • 33845903561 scopus 로고    scopus 로고
    • Cooperative caching for chip multiprocessors
    • J. Chang and G. Sohi, "Cooperative caching for chip multiprocessors," in Proc. ISCA-33, 2006.
    • (2006) Proc. ISCA-33
    • Chang, J.1    Sohi, G.2
  • 9
    • 0033683314 scopus 로고    scopus 로고
    • Application-specific memory management for embedded systems using software-controlled caches
    • D. Chiou et al., "Application-specific memory management for embedded systems using software-controlled caches," in Proc. DAC-37, 2000.
    • (2000) Proc. DAC-37
    • Chiou, D.1
  • 10
    • 27544432313 scopus 로고    scopus 로고
    • Optimizing replication, communication, and capacity allocation in cmps
    • Z. Chishti, M. Powell, and T. Vijaykumar, "Optimizing replication, communication, and capacity allocation in cmps," in ISCA-32, 2005.
    • (2005) ISCA-32
    • Chishti, Z.1    Powell, M.2    Vijaykumar, T.3
  • 11
    • 40349095122 scopus 로고    scopus 로고
    • Managing distributed, shared L2 caches through OS-level page allocation
    • S. Cho and L. Jin, "Managing distributed, shared L2 caches through OS-level page allocation," in Proc. MICRO-39, 2006.
    • (2006) Proc. MICRO-39
    • Cho, S.1    Jin, L.2
  • 12
    • 34547670591 scopus 로고    scopus 로고
    • An adaptive shared/private nuca cache partitioning scheme for chip multiprocessors
    • H. Dybdahl and P. Stenstrom, "An adaptive shared/private nuca cache partitioning scheme for chip multiprocessors," in Proc. HPCA-13, 2007.
    • (2007) Proc. HPCA-13
    • Dybdahl, H.1    Stenstrom, P.2
  • 13
    • 49549096253 scopus 로고    scopus 로고
    • A sub-1w to 2w low-power processor for mobile internet devices and ultra-mobile PCs in 45nm hi-k metal gate CMOS
    • G. Gerosa et al., "A sub-1w to 2w low-power processor for mobile internet devices and ultra-mobile PCs in 45nm hi-k metal gate CMOS," in ISSCC, 2008.
    • (2008) ISSCC
    • Gerosa, G.1
  • 14
    • 70350601187 scopus 로고    scopus 로고
    • Reactive NUCA: Near-optimal block placement and replication in distributed caches
    • N. Hardavellas et al., "Reactive NUCA: near-optimal block placement and replication in distributed caches," in Proc. ISCA-36, 2009.
    • (2009) Proc. ISCA-36
    • Hardavellas, N.1
  • 16
    • 77955009892 scopus 로고    scopus 로고
    • Elastic cooperative caching: An autonomous dynamically adaptive memory hierarchy for chip multiprocessors
    • E. Herrero, J. González, and R. Canal, "Elastic cooperative caching: an autonomous dynamically adaptive memory hierarchy for chip multiprocessors," in Proc. ISCA-37, 2010.
    • (2010) Proc. ISCA-37
    • Herrero, E.1    González, J.2    Canal, R.3
  • 17
    • 84910129119 scopus 로고    scopus 로고
    • Fiesta: A sample-balanced multiprogram workload methodology
    • A. Hilton, N. Eswaran, and A. Roth, "FIESTA: A sample-balanced multiprogram workload methodology," in Proc. MoBS, 2009.
    • (2009) Proc. MoBS
    • Hilton, A.1    Eswaran, N.2    Roth, A.3
  • 18
    • 34548225417 scopus 로고    scopus 로고
    • A nuca substrate for flexible CMP cache sharing
    • J. Jaehyuk Huh et al., "A NUCA substrate for flexible CMP cache sharing," IEEE Trans. Par. Dist. Sys., vol. 18, no. 8, 2007.
    • (2007) IEEE Trans. Par. Dist. Sys. , vol.18 , Issue.8
    • Jaehyuk Huh, J.1
  • 19
    • 80051679338 scopus 로고    scopus 로고
    • Last level cache (LLC) performance of data mining workloads on a CMP
    • A. Jaleel, M. Mattina, and B. Jacob, "Last Level Cache (LLC) Performance of Data Mining Workloads On A CMP," in HPCA-12, 2006.
    • (2006) HPCA-12
    • Jaleel, A.1    Mattina, M.2    Jacob, B.3
  • 20
    • 0036949388 scopus 로고    scopus 로고
    • An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches
    • C. Kim, D. Burger, and S. Keckler, "An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches," in ASPLOS-10, 2002.
    • (2002) ASPLOS-10
    • Kim, C.1    Burger, D.2    Keckler, S.3
  • 21
    • 77952125596 scopus 로고    scopus 로고
    • Westmere: A family of 32nm IA processors
    • N. Kurd et al., "Westmere: A family of 32nm IA processors," in ISSCC, 2010.
    • (2010) ISSCC
    • Kurd, N.1
  • 22
    • 79955893556 scopus 로고    scopus 로고
    • CloudCache: Expanding and shrinking private caches
    • H. Lee, S. Cho, and B. R. Childers, "CloudCache: Expanding and shrinking private caches," in Proc. HPCA-17, 2011.
    • (2011) Proc. HPCA-17
    • Lee, H.1    Cho, S.2    Childers, B.R.3
  • 23
    • 79953203158 scopus 로고    scopus 로고
    • CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs
    • B. Li et al., "CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs," J. Par. Dist. Comp., vol. 71, no. 5, 2011.
    • (2011) J. Par. Dist. Comp. , vol.71 , Issue.5
    • Li, B.1
  • 24
    • 76749146060 scopus 로고    scopus 로고
    • McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
    • S. Li et al., "McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures," in MICRO-42, 2009.
    • (2009) MICRO-42
    • Li, S.1
  • 25
    • 57749186047 scopus 로고    scopus 로고
    • Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems
    • J. Lin et al., "Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems," in HPCA-14, 2008.
    • (2008) HPCA-14
    • Lin, J.1
  • 27
    • 34547633495 scopus 로고    scopus 로고
    • Pin: Building customized program analysis tools with dynamic instrumentation
    • C.-K. Luk et al., "Pin: building customized program analysis tools with dynamic instrumentation," in Proc. PLDI, 2005.
    • (2005) Proc. PLDI
    • Luk, C.-K.1
  • 29
    • 35348900723 scopus 로고    scopus 로고
    • Virtual hierarchies to support server consolidation
    • M. Marty and M. Hill, "Virtual hierarchies to support server consolidation," in Proc. ISCA-34, 2007.
    • (2007) Proc. ISCA-34
    • Marty, M.1    Hill, M.2
  • 30
    • 0023330010 scopus 로고
    • On-line construction of the convex hull of a simple polyline
    • A. A. Melkman, "On-line construction of the convex hull of a simple polyline," Information Processing Letters, vol. 25, no. 1, 1987.
    • (1987) Information Processing Letters , vol.25 , Issue.1
    • Melkman, A.A.1
  • 31
    • 77952573440 scopus 로고    scopus 로고
    • ESP-nuca: A low-cost adaptive nonuniform cache architecture
    • J. Merino, V. Puente, and J. Gregorio, "ESP-NUCA: A low-cost adaptive nonuniform cache architecture," in Proc. HPCA-16, 2010.
    • (2010) Proc. HPCA-16
    • Merino, J.1    Puente, V.2    Gregorio, J.3
  • 33
    • 64949187933 scopus 로고    scopus 로고
    • Adaptive spill-receive for robust high-performance caching in CMPs
    • M. Qureshi, "Adaptive Spill-Receive for Robust High-Performance Caching in CMPs," in Proc. HPCA-10, 2009.
    • (2009) Proc. HPCA-10
    • Qureshi, M.1
  • 34
    • 34548042910 scopus 로고    scopus 로고
    • Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
    • M. Qureshi and Y. Patt, "Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches," in Proc. MICRO-39, 2006.
    • (2006) Proc. MICRO-39
    • Qureshi, M.1    Patt, Y.2
  • 35
    • 0033723131 scopus 로고    scopus 로고
    • Reconfigurable caches and their application to media processing
    • P. Ranganathan, S. Adve, and N. Jouppi, "Reconfigurable caches and their application to media processing," in Proc. ISCA-27, 2000.
    • (2000) Proc. ISCA-27
    • Ranganathan, P.1    Adve, S.2    Jouppi, N.3
  • 36
    • 79951696261 scopus 로고    scopus 로고
    • The zcache: Decoupling ways and associativity
    • D. Sanchez and C. Kozyrakis, "The ZCache: Decoupling Ways and Associativity," in Proc. MICRO-43, 2010.
    • (2010) Proc. MICRO-43
    • Sanchez, D.1    Kozyrakis, C.2
  • 37
    • 80052521720 scopus 로고    scopus 로고
    • Vantage: Scalable and efficient fine-grain cache partitioning
    • D. Sanchez and C. Kozyrakis, "Vantage: Scalable and Efficient Fine-Grain Cache Partitioning," in Proc. ISCA-38, 2011.
    • (2011) Proc. ISCA-38
    • Sanchez, D.1    Kozyrakis, C.2
  • 38
    • 84881154274 scopus 로고    scopus 로고
    • ZSim: Fast and accurate microarchitectural simulation of thousand-core systems
    • D. Sanchez and C. Kozyrakis, "ZSim: Fast and Accurate Microarchitectural Simulation of Thousand-Core Systems," in Proc. ISCA-40, 2013.
    • (2013) Proc. ISCA-40
    • Sanchez, D.1    Kozyrakis, C.2
  • 39
    • 0027307814 scopus 로고
    • A case for two-way skewed-associative caches
    • A. Seznec, "A case for two-way skewed-associative caches," in ISCA-20, 1993.
    • (1993) ISCA-20
    • Seznec, A.1
  • 40
    • 0034443570 scopus 로고    scopus 로고
    • Symbiotic jobscheduling for a simultaneous multithreading processor
    • A. Snavely and D. M. Tullsen, "Symbiotic jobscheduling for a simultaneous multithreading processor," in Proc. ASPLOS-8, 2000.
    • (2000) Proc. ASPLOS-8
    • Snavely, A.1    Tullsen, D.M.2
  • 41
    • 76749118968 scopus 로고    scopus 로고
    • SHARP control: Controlled shared cache management in chip multiprocessors
    • S. Srikantaiah, M. Kandemir, and Q. Wang, "SHARP control: Controlled shared cache management in chip multiprocessors," in MICRO-42, 2009.
    • (2009) MICRO-42
    • Srikantaiah, S.1    Kandemir, M.2    Wang, Q.3
  • 42
    • 57749176037 scopus 로고    scopus 로고
    • Managing shared l2 caches on multicore systems in software
    • D. Tam et al., "Managing shared l2 caches on multicore systems in software," in WIOSCA, 2007.
    • (2007) WIOSCA
    • Tam, D.1
  • 43
    • 40349093471 scopus 로고    scopus 로고
    • Molecular caches: A caching structure for dynamic creation of app-specific heterogeneous cache regions
    • K. Varadarajan et al., "Molecular caches: A caching structure for dynamic creation of app-specific heterogeneous cache regions," in MICRO-39, 2006.
    • (2006) MICRO-39
    • Varadarajan, K.1
  • 44
    • 80052529677 scopus 로고    scopus 로고
    • A comparison of capacity management schemes for shared cmp caches
    • C. Wu and M. Martonosi, "A Comparison of Capacity Management Schemes for Shared CMP Caches," in WDDD-7, 2008.
    • (2008) WDDD-7
    • Wu, C.1    Martonosi, M.2
  • 45
    • 70450279102 scopus 로고    scopus 로고
    • PIPP: Promotion/insertion pseudo-partitioning of multicore shared caches
    • Y. Xie and G. H. Loh, "PIPP: promotion/insertion pseudo-partitioning of multicore shared caches," in Proc. ISCA-36, 2009.
    • (2009) Proc. ISCA-36
    • Xie, Y.1    Loh, G.H.2
  • 46
    • 27544495466 scopus 로고    scopus 로고
    • Victim replication: Maximizing capacity while hiding wire delay in tiled chip multiprocessors
    • M. Zhang and K. Asanovic, "Victim replication: Maximizing capacity while hiding wire delay in tiled chip multiprocessors," in ISCA-32, 2005.
    • (2005) ISCA-32
    • Zhang, M.1    Asanovic, K.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.