-
1
-
-
70450245578
-
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors
-
June
-
A. Bhattacharjee and M. Martonosi. Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. In International Symposium on Computer Architecture, pages 290-301, June 2009.
-
(2009)
International Symposium on Computer Architecture
, pp. 290-301
-
-
Bhattacharjee, A.1
Martonosi, M.2
-
2
-
-
0000269759
-
Scheduling multithreaded computations by work stealing
-
September
-
R. D. Blumofe and C. E. Leiserson. Scheduling multithreaded computations by work stealing. Journal of the ACM, 46:720-748, September 1999.
-
(1999)
Journal of the ACM
, vol.46
, pp. 720-748
-
-
Blumofe, R.D.1
Leiserson, C.E.2
-
3
-
-
63549151745
-
Meeting points: Using thread criticality to adapt multicore hardware to parallel regions
-
October
-
Q. Cai, J. González, R. Rakvic, G. Magklis, P. Chaparro, and A. González. Meeting points: Using thread criticality to adapt multicore hardware to parallel regions. In International Conference on Parallel Architectures and Compilation Techniques, pages 240-249, October 2008.
-
(2008)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 240-249
-
-
Cai, Q.1
González, J.2
Rakvic, R.3
Magklis, G.4
Chaparro, P.5
González, A.6
-
5
-
-
75649145360
-
Technologies for ultradynamic voltage scaling
-
February
-
A. Chandrakasan, D. Daly, D. Finchelstein, J. Kwong, Y. Ramadass, M. Sinangil, V. Sze, and N. Verma. Technologies for ultradynamic voltage scaling. Proceedings of the IEEE, 98(2):191-214, February 2010.
-
(2010)
Proceedings of the IEEE
, vol.98
, Issue.2
, pp. 191-214
-
-
Chandrakasan, A.1
Daly, D.2
Finchelstein, D.3
Kwong, J.4
Ramadass, Y.5
Sinangil, M.6
Sze, V.7
Verma, N.8
-
6
-
-
75649121827
-
Practical strategies for power-efficient computing technologies
-
February
-
L. Chang, D. Frank, R. Montoye, S. Koester, B. Ji, P. Coteus, R. Dennard, and W. Haensch. Practical strategies for power-efficient computing technologies. Proceedings of the IEEE, 98(2):215-236, February 2010.
-
(2010)
Proceedings of the IEEE
, vol.98
, Issue.2
, pp. 215-236
-
-
Chang, L.1
Frank, D.2
Montoye, R.3
Koester, S.4
Ji, B.5
Coteus, P.6
Dennard, R.7
Haensch, W.8
-
8
-
-
75649093754
-
Near-threshold computing: Reclaiming Moore's law through energy efficient integrated circuits
-
February
-
R. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge. Near-threshold computing: Reclaiming Moore's law through energy efficient integrated circuits. Proceedings of the IEEE, 98(2):253-266, February 2010.
-
(2010)
Proceedings of the IEEE
, vol.98
, Issue.2
, pp. 253-266
-
-
Dreslinski, R.1
Wieckowski, M.2
Blaauw, D.3
Sylvester, D.4
Mudge, T.5
-
9
-
-
66749098277
-
Reconfigurable energy efficient near threshold cache architectures
-
December
-
R. G. Dreslinski, G. K. Chen, T. Mudge, D. Blaauw, D. Sylvester, and K. Flautner. Reconfigurable energy efficient near threshold cache architectures. In International Symposium on Microarchitecture, pages 459-470, December 2008.
-
(2008)
International Symposium on Microarchitecture
, pp. 459-470
-
-
Dreslinski, R.G.1
Chen, G.K.2
Mudge, T.3
Blaauw, D.4
Sylvester, D.5
Flautner, K.6
-
12
-
-
70450029262
-
Work-first and help-first scheduling policies for async-finish task parallelism
-
May
-
Y. Guo, R. Barik, R. Raman, and V. Sarka. Work-first and help-first scheduling policies for async-finish task parallelism. In IEEE International Parallel and Distributed Processing Symposium, pages 1-12, May 2009.
-
(2009)
IEEE International Parallel and Distributed Processing Symposium
, pp. 1-12
-
-
Guo, Y.1
Barik, R.2
Raman, R.3
Sarka, V.4
-
13
-
-
70349755390
-
Mitigating the impact of variability on chip-multiprocessor power and performance
-
October
-
S. Herbert and D. Marculescu. Mitigating the impact of variability on chip-multiprocessor power and performance. IEEE Transactions on Very Large Scale Integrated Systems, 17:1520-1533, October 2009.
-
(2009)
IEEE Transactions on Very Large Scale Integrated Systems
, vol.17
, pp. 1520-1533
-
-
Herbert, S.1
Marculescu, D.2
-
14
-
-
52949144800
-
The impact of systematic process variations on symmetrical performance in chip multiprocessors
-
April
-
E. Humenay, D. Tarjan, and K. Skadron. The impact of systematic process variations on symmetrical performance in chip multiprocessors. In Design, Automation and Test in Europe, April 2007.
-
(2007)
Design, Automation and Test in Europe
-
-
Humenay, E.1
Tarjan, D.2
Skadron, K.3
-
17
-
-
51549098641
-
Power gating scheduling for power/ground noise reduction
-
June
-
H. Jiang and M. Marek-Sadowska. Power gating scheduling for power/ground noise reduction. In Design Automation Conference, pages 980-985, June 2008.
-
(2008)
Design Automation Conference
, pp. 980-985
-
-
Jiang, H.1
Marek-Sadowska, M.2
-
18
-
-
79955717091
-
A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation
-
February
-
W. Kim, D. Brooks, and G.-Y. Wei. A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation. In International Solid-State Circuits Conference, pages 268-270, February 2011.
-
(2011)
International Solid-State Circuits Conference
, pp. 268-270
-
-
Kim, W.1
Brooks, D.2
Wei, G.-Y.3
-
19
-
-
57749178620
-
System level analysis of fast, per-core DVFS using on-chip switching regulators
-
February
-
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core DVFS using on-chip switching regulators. In IEEE International Symposium on High-Performance Computer Architecture, pages 123-134, February 2008.
-
(2008)
IEEE International Symposium on High-Performance Computer Architecture
, pp. 123-134
-
-
Kim, W.1
Gupta, M.2
Wei, G.-Y.3
Brooks, D.4
-
21
-
-
63449130377
-
Next generation Intel Core micro-architecture (Nehalem) clocking
-
April
-
N. Kurd, P. Mosalikanti, M. Neidengard, J. Douglas, and R. Kumar. Next generation Intel Core micro-architecture (Nehalem) clocking. IEEE Journal of Solid-State Circuits, 44(4):1121-1129, April 2009.
-
(2009)
IEEE Journal of Solid-State Circuits
, vol.44
, Issue.4
, pp. 1121-1129
-
-
Kurd, N.1
Mosalikanti, P.2
Neidengard, M.3
Douglas, J.4
Kumar, R.5
-
22
-
-
75449089335
-
Power management of datacenter workloads using per-core power gating
-
July
-
J. Leverich, M. Monchiero, V. Talwar, P. Ranganathan, and C. Kozyrakis. Power management of datacenter workloads using per-core power gating. IEEE Computer Architecture Letters, 8:48-51, July 2009.
-
(2009)
IEEE Computer Architecture Letters
, vol.8
, pp. 48-51
-
-
Leverich, J.1
Monchiero, M.2
Talwar, V.3
Ranganathan, P.4
Kozyrakis, C.5
-
24
-
-
63149170541
-
ReVIVaL: A variation-tolerant architecture using voltage interpolation and variable latency
-
X. Liang, G.-Y. Wei, and D. Brooks. ReVIVaL: A variation-tolerant architecture using voltage interpolation and variable latency. IEEE Micro, 29(1):127-138, 2009.
-
(2009)
IEEE Micro
, vol.29
, Issue.1
, pp. 127-138
-
-
Liang, X.1
Wei, G.-Y.2
Brooks, D.3
-
25
-
-
33746317769
-
Exploiting barriers to optimize power consumption of CMPs
-
April
-
C. Liu, A. Sivasubramaniam, M. Kandemir, and M. J. Irwin. Exploiting barriers to optimize power consumption of CMPs. In IEEE International Parallel and Distributed Processing Symposium, pages 1-5, April 2005.
-
(2005)
IEEE International Parallel and Distributed Processing Symposium
, pp. 1-5
-
-
Liu, C.1
Sivasubramaniam, A.2
Kandemir, M.3
Irwin, M.J.4
-
26
-
-
75649141765
-
Ultralow-power design in near-threshold region
-
February
-
D. Markovic, C. Wang, L. Alarcon, T.-T. Liu, and J. Rabaey. Ultralow-power design in near-threshold region. Proceedings of the IEEE, 98(2):237-252, February 2010.
-
(2010)
Proceedings of the IEEE
, vol.98
, Issue.2
, pp. 237-252
-
-
Markovic, D.1
Wang, C.2
Alarcon, L.3
Liu, T.-T.4
Rabaey, J.5
-
27
-
-
34547439707
-
A DLL-based programmable clock multiplier in 0.18-um CMOS with - 70 dBc reference spur
-
DOI 10.1109/JSSC.2007.900300
-
P. Maulik and D. Mercer. A DLL-based programmable clock multiplier in 0.18-um CMOS with -70 dBc reference spur. IEEE Journal of Solid-State Circuits, 42(8):1642-1648, August 2007. (Pubitemid 47171128)
-
(2007)
IEEE Journal of Solid-State Circuits
, vol.42
, Issue.8
, pp. 1642-1648
-
-
Maulik, P.C.1
Mercer, D.A.2
-
28
-
-
31344454872
-
Power and temperature control on a 90-nm Itanium family processor
-
DOI 10.1109/JSSC.2005.859902
-
R. McGowen, C. A. Poirier, C. Bostak, J. Ignowski, M. Millican, W. H. Parks, and S. Naffziger. Power and temperature control on a 90-nm Itanium family processor. IEEE Journal of Solid-State Circuits, 41(1):229-237, January 2006. (Pubitemid 43145980)
-
(2006)
IEEE Journal of Solid-State Circuits
, vol.41
, Issue.1
, pp. 229-237
-
-
McGowen, R.1
Poirier, C.A.2
Bostak, C.3
Ignowski, J.4
Millican, M.5
Parks, W.H.6
Naffziger, S.7
-
29
-
-
79951684278
-
Parichute: Generalized turbocode-based error correction for near-threshold caches
-
December
-
T. Miller, J. Dinan, R. Thomas, B. Adcock, and R. Teodorescu. Parichute: Generalized turbocode-based error correction for near-threshold caches. In International Symposium on Microarchitecture, pages 351-362, December 2010.
-
(2010)
International Symposium on Microarchitecture
, pp. 351-362
-
-
Miller, T.1
Dinan, J.2
Thomas, R.3
Adcock, B.4
Teodorescu, R.5
-
30
-
-
84860329987
-
Mitigating the effects of process variation in ultra-low voltage chip multiprocessors using dual supply voltages and half-speed stages
-
T. Miller, R. Thomas, and R. Teodorescu. Mitigating the effects of process variation in ultra-low voltage chip multiprocessors using dual supply voltages and half-speed stages. IEEE Computer Architecture Letters, 11(1), 2012.
-
(2012)
IEEE Computer Architecture Letters
, vol.11
, Issue.1
-
-
Miller, T.1
Thomas, R.2
Teodorescu, R.3
-
32
-
-
33644879118
-
-
January
-
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, K. Strauss, S. Sarangi, P. Sack, and P. Montesinos. SESC Simulator, January 2005. http://sesc.sourceforge.net.
-
(2005)
SESC Simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Strauss, K.7
Sarangi, S.8
Sack, P.9
Montesinos, P.10
-
33
-
-
0034317650
-
1.3-cycle lock time, non-PLL/DLL clock multiplier based on direct clock cycle interpolation for 'clock on demand'
-
DOI 10.1109/4.881203
-
T. Saeki, M. Mitsuishi, H. Iwaki, and M. Tagishi. A 1.3-cycle lock time, non-PLL/DLL clock multiplier based on direct clock cycle interpolation for clock on demand. IEEE Journal of Solid-State Circuits, 35(11):1581-1590, November 2000. (Pubitemid 32070550)
-
(2000)
IEEE Journal of Solid-State Circuits
, vol.35
, Issue.11
, pp. 1581-1590
-
-
Saeki, T.1
Mitsuishi, M.2
Iwaki, H.3
Tagishi, M.4
-
34
-
-
38949186007
-
VARIUS: A model of process variation and resulting timing errors for microarchitects
-
DOI 10.1109/TSM.2007.913186
-
S. R. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas. VARIUS: A model of parameter variation and resulting timing errors for microarchitects. IEEE Transactions on Semiconductor Manufacturing, 21(1):3-13, February 2008. (Pubitemid 351229812)
-
(2008)
IEEE Transactions on Semiconductor Manufacturing
, vol.21
, Issue.1
, pp. 3-13
-
-
Sarangi, S.R.1
Greskamp, B.2
Teodorescu, R.3
Nakano, J.4
Tiwari, A.5
Torrellas, J.6
-
35
-
-
77952283142
-
Hass: A scheduler for heterogeneous multicore systems
-
April
-
D. Shelepov, J. C. S. Alcaide, S. Jeffery, A. Fedorova, N. Perez, Z. F. Huang, S. Blagodurov, and V. Kumar. Hass: A scheduler for heterogeneous multicore systems. SIGOPS Operating Systems Review, 43(2):66-75, April 2009.
-
(2009)
SIGOPS Operating Systems Review
, vol.43
, Issue.2
, pp. 66-75
-
-
Shelepov, D.1
Alcaide, J.C.S.2
Jeffery, S.3
Fedorova, A.4
Perez, N.5
Huang, Z.F.6
Blagodurov, S.7
Kumar, V.8
-
36
-
-
52649107085
-
Variation-aware application scheduling and power management for chip multiprocessors
-
June
-
R. Teodorescu and J. Torrellas. Variation-aware application scheduling and power management for chip multiprocessors. In International Symposium on Computer Architecture, pages 363-374, June 2008.
-
(2008)
International Symposium on Computer Architecture
, pp. 363-374
-
-
Teodorescu, R.1
Torrellas, J.2
-
37
-
-
70450209566
-
Architectures for extreme-scale computing
-
November
-
J. Torrellas. Architectures for extreme-scale computing. IEEE Computer, 42:28-35, November 2009.
-
(2009)
IEEE Computer
, vol.42
, pp. 28-35
-
-
Torrellas, J.1
-
38
-
-
84860341750
-
-
Research@Intel, September
-
S. Vangal. A solar powered IA core? No way! Research@Intel, September 2011. http://blogs.intel.com/research/2011/09/ntvp.php.
-
(2011)
A Solar Powered IA Core? No Way!
-
-
Vangal, S.1
-
39
-
-
36949010083
-
Energy efficient near-threshold chip multi-processing
-
August
-
B. Zhai, R. G. Dreslinski, D. Blaauw, T. Mudge, and D. Sylvester. Energy efficient near-threshold chip multi-processing. In International Symposium on Low Power Electronics and Design, pages 32-37, August 2007.
-
(2007)
International Symposium on Low Power Electronics and Design
, pp. 32-37
-
-
Zhai, B.1
Dreslinski, R.G.2
Blaauw, D.3
Mudge, T.4
Sylvester, D.5
|