-
1
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
DOI 10.1109/MM.2007.4378787
-
J. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L. S. Peh, "Research challenges for on-chip interconnection networks," IEEE Micro, vol. 27, no. 5, pp. 96-108, May 2007. (Pubitemid 350218391)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayashima, D.N.4
Keckler, S.W.5
Peh, L.-S.6
-
2
-
-
33745800231
-
A survey of research and practices of network-on-chip
-
T. Bjerregaard and S. Mahadevan, "A survey of research and practices of network-on-chip," ACM Comput. Surveys, vol. 38, no. 1, pp. 1-51, 2006.
-
(2006)
ACM Comput. Surveys
, vol.38
, Issue.1
, pp. 1-51
-
-
Bjerregaard, T.1
Mahadevan, S.2
-
3
-
-
52649174496
-
Polymorphic on-chip networks
-
M. Kim, J. Davis, M. Oskin, and T. Austin, "Polymorphic on-chip networks," in Proc. ISCA, 2008, pp. 101-112.
-
(2008)
Proc. ISCA
, pp. 101-112
-
-
Kim, M.1
Davis, J.2
Oskin, M.3
Austin, T.4
-
4
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Bokar, and S. Bokar, "A 5-GHz mesh interconnect for a Teraflops processor," IEEE Micro, vol. 27, no. 5, pp. 51-61, May 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
5
-
-
3042567207
-
Bandwidth-constrained mapping of cores onto NoC architectures
-
S. Murali and G. De Micheli, "Bandwidth-constrained mapping of cores onto NoC architectures," in Proc. Des. Autom. Test Euro. (DATE), 2004, pp. 896-901.
-
(2004)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 896-901
-
-
Murali, S.1
De Micheli, G.2
-
6
-
-
16444383201
-
Energy- And performance-aware mapping for regular NoC architectures
-
DOI 10.1109/TCAD.2005.844106
-
J. Hu and R. Marculescu, "Energy- and performance-aware mapping for regular NoC architectures," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 6, pp. 551-562, Apr. 2005. (Pubitemid 40476038)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.4
, pp. 551-562
-
-
Hu, J.1
Marculescu, R.2
-
7
-
-
33646934107
-
Energy- and performance-driven customized architecture synthesis using a decomposition approach
-
U. Ogras and R. Marculescu, "Energy- and performance-driven customized architecture synthesis using a decomposition approach," in Proc. Des. Autom. Test Euro. Conf., 2005, pp. 352-357.
-
(2005)
Proc. Des. Autom. Test Euro. Conf.
, pp. 352-357
-
-
Ogras, U.1
Marculescu, R.2
-
8
-
-
49549119939
-
NoCOUT: NoC topology generation with mixed packet-switched and point-to-point networks
-
J. Chan and S. Parameswaran, "NoCOUT: NoC topology generation with mixed packet-switched and point-to-point networks," in Proc. Asia South Pacific Des. Autom. Conf., 2008, pp. 256-270.
-
(2008)
Proc. Asia South Pacific Des. Autom. Conf.
, pp. 256-270
-
-
Chan, J.1
Parameswaran, S.2
-
9
-
-
34047170421
-
Contrasting a NoC and a traditional interconnect fabric with layout awareness
-
F. Angiolini, L. Benini, P. Meloni, L. Raffo, and S. Carta, "Contrasting a NoC and a traditional interconnect fabric with layout awareness," in Proc. Des. Autom. Test Euro. (DATE), 2006, pp. 1-6.
-
(2006)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 1-6
-
-
Angiolini, F.1
Benini, L.2
Meloni, P.3
Raffo, L.4
Carta, S.5
-
10
-
-
2342660153
-
Networks on chip: A new paradigm for systems on chip design
-
Jan
-
L. Benini and G. De Micheli, "Networks on chip: A new paradigm for systems on chip design," IEEE Comput., vol. 35, no. 1, pp. 70-78, Jan. 2001.
-
(2001)
IEEE Comput.
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
12
-
-
4644301652
-
Low-latency virtual-channel routers for on-chip networks
-
R. Mullins and S. Moore, "Low-latency virtual-channel routers for on-chip networks," in Proc. Int. Symp. Comput. Arch., 2004, pp. 188-197.
-
(2004)
Proc. Int. Symp. Comput. Arch.
, pp. 188-197
-
-
Mullins, R.1
Moore, S.2
-
13
-
-
0035101680
-
A delay model for router microarchitectures
-
Jan
-
L. S. Peh and W. J. Dally, "A delay model for router microarchitectures," IEEE Micro, vol. 2, no. 1, pp. 26-34, Jan. 2001.
-
(2001)
IEEE Micro
, vol.2
, Issue.1
, pp. 26-34
-
-
Peh, L.S.1
Dally, W.J.2
-
14
-
-
33947117181
-
An arbitration look-ahead scheme for reducing end-to-end latency in networks-on-chip
-
K. Kim, S. Lee, K. Lee, and H. J. Yoo, "An arbitration look-ahead scheme for reducing end-to-end latency in networks-on-chip," in Proc. Int. Symp. Circuits Syst. (ISCAS), 2005, pp. 2357-2360.
-
(2005)
Proc. Int. Symp. Circuits Syst. (ISCAS)
, pp. 2357-2360
-
-
Kim, K.1
Lee, S.2
Lee, K.3
Yoo, H.J.4
-
15
-
-
35348819913
-
Rotary router: An efficient architecture for CMP interconnection networks
-
DOI 10.1145/1250662.1250678, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
P. Abad, V. Puente, J. Gregorio, and P. Prieto, "Rotary router: An efficient architecture for CMP interconnection networks," in Proc. Symp. Comput. Arch. (ISCA), 2007, pp. 116-125. (Pubitemid 47582096)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 116-125
-
-
Abad, P.1
Puente, V.2
Prieto, P.3
Gregorio, J.A.4
-
16
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
DOI 10.1145/1250662.1250681, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
A. Kumar, L. S. Peh, P. Kundu, and N. K. Jha, "Express virtual channels: Towards the ideal interconnection fabric," in Proc. Int. Symp. Comput. Arch. (ISCA), 2007, pp. 150-161. (Pubitemid 47582099)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
17
-
-
33845899086
-
A gracefully degrading and energy-efficient modular router architecture for on-chip networks
-
DOI 10.1109/ISCA.2006.6, 1635936, Proceedings - 33rd International Symposium on Computer Architecture,ISCA 2006
-
J. Kim, C. Nicopoulos, D. Park, V. Narayanan, M. Yousif, and C. Das, "A gracefully degrading and energy-efficient modular router architecture for on-chip networks," in Proc. Int. Symp. Comput. Arch. (ISCA), 2006, pp. 4-15. (Pubitemid 46016600)
-
(2006)
Proceedings - International Symposium on Computer Architecture
, vol.2006
, pp. 4-15
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Narayanan, V.4
Yousif, M.S.5
Das, C.R.6
-
18
-
-
50149094921
-
Routing aware switch hardware customization for networks on chips
-
P. Meloni, S. Murali, S. Carta, M. Camplani, L. Raffo, and G. De Micheli, "Routing aware switch hardware customization for networks on chips," in Proc. NanoNet, 2006, pp. 1-5.
-
(2006)
Proc. NanoNet
, pp. 1-5
-
-
Meloni, P.1
Murali, S.2
Carta, S.3
Camplani, M.4
Raffo, L.5
De Micheli, G.6
-
19
-
-
84954421164
-
Energy-aware mapping for tile-based NoC architectures under performance constraints
-
J. Hu and R. Marculescu, "Energy-aware mapping for tile-based NoC architectures under performance constraints," in Proc. Asia South Pacific Des. Autom. Conf., 2003, pp. 233-239.
-
(2003)
Proc. Asia South Pacific Des. Autom. Conf.
, pp. 233-239
-
-
Hu, J.1
Marculescu, R.2
-
20
-
-
84893760422
-
Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures
-
J. Hu and R. Marculescu, "Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures," in Proc. Des. Autom. Test Euro. (DATE), 2003, pp. 668-693.
-
(2003)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 668-693
-
-
Hu, J.1
Marculescu, R.2
-
21
-
-
4444335188
-
SUNMAP: A tool for automatic topology selection and generation for NoCs
-
S. Murali and G. De Micheli, "SUNMAP: A tool for automatic topology selection and generation for NoCs," in Proc. Des. Autom. Conf. (DAC), 2004, pp. 914-919.
-
(2004)
Proc. Des. Autom. Conf. (DAC)
, pp. 914-919
-
-
Murali, S.1
De Micheli, G.2
-
22
-
-
14844365666
-
NoC synthesis flow for customized domain specific multiprocessor systems-on-chip
-
DOI 10.1109/TPDS.2005.22
-
D. Bertozzi, A. Jalabert, S. Murali, R. Tamahankar, S. Stergiou, L. Benini, and G. De Micheli, "NoC synthesis flow for customized domain specific multiprocessor systems-on-chip," IEEE Trans. Parallel Distrib. Syst., vol. 16, no. 2, pp. 113-129, Feb. 2005. (Pubitemid 40351826)
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
De Micheli, G.7
-
24
-
-
16244409520
-
Multi-objective mapping for mesh-based NoC architectures
-
International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004
-
G. Ascia, V. Catania, and M. Palesi, "Multi-objective mapping for mesh-based NoC architectures," in Proc. ISSS-CODES, 2004, pp. 182-187. (Pubitemid 40457625)
-
(2004)
Second IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and Systems Synthesis, CODES+ISSS 2004
, pp. 182-187
-
-
Ascia, G.1
Catania, V.2
Palesi, M.3
-
25
-
-
44149086425
-
ReNoC: A network-on-chip architecture with reconfigurable topology
-
DOI 10.1109/NOCS.2008.4492725, 4492725, Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
-
M. Stensgaard and J. Sparsø, "ReNoC: A network-on-chip architecture with reconfigurable topology," in Proc. Int. Symp. Networks-on-Chip (NoCS), 2008, pp. 55-64. (Pubitemid 351715030)
-
(2008)
Proceedings - Second IEEE International Symposium on Networks-on-Chip, NOCS 2008
, pp. 55-64
-
-
Stensgaard, M.B.1
Sparso, J.2
-
28
-
-
34047123275
-
A methodology for mapping multiple use-cases onto networks on chips
-
S. Murali, M. Coenen, R. Radulescu, K. Goossens, and G. De Micheli, "A methodology for mapping multiple use-cases onto networks on chips," in Proc. Des. Autom. Test Euro. (DATE), 2006, pp. 118-123.
-
(2006)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 118-123
-
-
Murali, S.1
Coenen, M.2
Radulescu, R.3
Goossens, K.4
De Micheli, G.5
-
29
-
-
77956218120
-
An efficient dynamically reconfigurable on-chip network architecture
-
M. Modarressi, H. Sarbazi-Azad, and A. Tavakkol, "An efficient dynamically reconfigurable on-chip network architecture," in Proc. Des. Autom. Conf. (DAC), 2010, pp. 310-313.
-
Proc. Des. Autom. Conf. (DAC)
, vol.2010
, pp. 310-313
-
-
Modarressi, M.1
Sarbazi-Azad, H.2
Tavakkol, A.3
-
30
-
-
77952920392
-
Application-specific network-on-chip architecture customization via long-range link insertion
-
U. Ogras and R. Marculescu, "Application-specific network-on-chip architecture customization via long-range link insertion," in Proc. Des. Autom. Conf. (DAC), 2005.
-
(2005)
Proc. Des. Autom. Conf. (DAC)
-
-
Ogras, U.1
Marculescu, R.2
-
31
-
-
0035441059
-
Theory of latencyinsensitive design
-
Sep
-
L. P. Carloni, L. McMillan, and K. Sangiovanni, "Theory of latencyinsensitive design," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 20, no. 9, pp. 1059-1076, Sep. 2001.
-
(2001)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
, vol.20
, Issue.9
, pp. 1059-1076
-
-
Carloni, L.P.1
McMillan, L.2
Sangiovanni, K.3
-
32
-
-
0035217280
-
Evaluation of crossbar architectures for deadlock recovery routers
-
DOI 10.1006/jpdc.2000.1669, PII S0743731500916691
-
Y. Choi and T. Pinkston, "Evaluation of crossbar architectures for deadlock recovery routers," J. Parallel Distrib. Comput., vol. 61, no. 1, pp. 49-78, 2001. (Pubitemid 33376649)
-
(2001)
Journal of Parallel and Distributed Computing
, vol.61
, Issue.1
, pp. 49-78
-
-
Choi, Y.1
Pinkston, T.M.2
-
34
-
-
67649661466
-
-
HP Laboratories, USA, Tech. Rep. HPL-2008-20
-
S. Thoziyoor, N.Muralimanohar, J. H. Ahn, and N. P. Jouppi, "CACTI 5.1," HP Laboratories, USA, Tech. Rep. HPL-2008-20, 2008, .
-
(2008)
CACTI 5.1
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.H.3
Jouppi, N.P.4
-
35
-
-
70350060187
-
ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration
-
A. Kahng, B. Li, L. Peh, and K. Samadi, "ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration," in Proc. Des. Autom. Test Euro. (DATE), 2009, pp. 423-428.
-
(2009)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 423-428
-
-
Kahng, A.1
Li, B.2
Peh, L.3
Samadi, K.4
-
36
-
-
34547471544
-
Design tradeoffs for tiled CMP on-chip networks
-
J. Balfour and W. J. Dally, "Design tradeoffs for tiled CMP on-chip networks," in Proc. Int. Conf. Supercomput., 2006, pp. 178-189.
-
(2006)
Proc. Int. Conf. Supercomput.
, pp. 178-189
-
-
Balfour, J.1
Dally, W.J.2
-
37
-
-
34547352779
-
Network-on-chip link analysis under power and performance constraints
-
1693546, ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Proceedings
-
M. Kim, D. Kim, and E. Sobelman, "NoC link analysis under power and performance constraints," in Proc. ISCAS, 2006, pp. 4163-4166. (Pubitemid 47132472)
-
(2006)
Proceedings - IEEE International Symposium on Circuits and Systems
, pp. 4163-4166
-
-
Kim, M.1
Kim, D.2
Sobelman, G.E.3
-
38
-
-
27144481574
-
An evolutionary approach to network-on-chip mapping problem
-
2005 IEEE Congress on Evolutionary Computation, IEEE CEC 2005. Proceedings
-
G. Ascia, M. Catania, and M. Palesi, "An evolutionary approach to network- on-chip mapping problem," in Proc. IEEE Congr. Evolutionary Computation, 2005, pp. 112-119. (Pubitemid 41495845)
-
(2005)
2005 IEEE Congress on Evolutionary Computation, IEEE CEC 2005. Proceedings
, vol.1
, pp. 112-119
-
-
Ascia, G.1
Catania, V.2
Palesi, M.3
-
39
-
-
33746590812
-
Linear-programming-based techniques for synthesis of network-on-chip architectures
-
DOI 10.1109/TVLSI.2006.871762, 1637470
-
K. Srinvasan, K. Chatha, and G. Konjevod, "Linear programming- based techniques for synthesis of network-on-chip architectures," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 4, pp. 407-420, Apr. 2006. (Pubitemid 44143984)
-
(2006)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
, vol.14
, Issue.4
, pp. 407-420
-
-
Srinivasan, K.1
Chatha, K.S.2
Konjevod, G.3
-
40
-
-
0031681657
-
TGFF: Task graphs for free
-
R. P. Dick, D. L. Rhodes, and W. Wolf, "TGFF: Task graphs for free," in Proc. Int. Workshop Hardw./Softw. Codes., 1998, pp. 97-101.
-
(1998)
Proc. Int. Workshop Hardw./Softw. Codes.
, pp. 97-101
-
-
Dick, R.P.1
Rhodes, D.L.2
Wolf, W.3
-
41
-
-
34047167070
-
A low complexity heuristic for design of custom network-on-chip architectures
-
K. Srinvasan and K. Chatha, "A low complexity heuristic for design of custom network-on-chip architectures," in Proc. Des. Autom. Test Euro. (DATE), 2006, pp. 130-135.
-
(2006)
Proc. Des. Autom. Test Euro. (DATE)
, pp. 130-135
-
-
Srinvasan, K.1
Chatha, K.2
-
42
-
-
77955777983
-
-
HPCAN Laboratory, Sharif Univ. Technol., Tehran, Iran, [Online]. Available
-
HPCAN Laboratory, Sharif Univ. Technol., Tehran, Iran, "Xmulator NoC Simulator," 2009. [Online]. Available: http://www.xmulator.org
-
(2009)
Xmulator NoC Simulator
-
-
-
44
-
-
34547261834
-
Thousand core chips - A technology perspective
-
DOI 10.1109/DAC.2007.375263, 4261282, 2007 44th ACM/IEEE Design Automation Conference, DAC'07
-
S. Borkar, "Thousand core chips: A technology perspective," in Proc. 44th Des. Autom. Conf. (DAC), 2007, pp. 746-749. (Pubitemid 47130064)
-
(2007)
Proceedings - Design Automation Conference
, pp. 746-749
-
-
Borkar, S.1
|