-
1
-
-
35348819913
-
Rotary router: An efficient architecture for cmp interconnection networks
-
San Diego, CA, June
-
P. Abad, V. Puente, J. A. Gregorio, and P. Prieto. Rotary router: An efficient architecture for cmp interconnection networks. In Proc. of the International Symposium on Computer Architecture (ISCA), San Diego, CA, June 2007.
-
(2007)
Proc. of the International Symposium on Computer Architecture (ISCA)
-
-
Abad, P.1
Puente, V.2
Gregorio, J.A.3
Prieto, P.4
-
3
-
-
0242577992
-
Guest editors' introduction: Power and complexity aware design
-
P. Bose, D. H. Albonesi, and D. Marculescu. Guest editors' introduction: Power and complexity aware design. IEEE Micro, 23(5):8-11, 2003.
-
(2003)
IEEE Micro
, vol.23
, Issue.5
, pp. 8-11
-
-
Bose, P.1
Albonesi, D.H.2
Marculescu, D.3
-
4
-
-
34547700390
-
A scalable, non-blocking approach to transactional memory
-
Feb
-
H. Chafi, J. Casper, B. D. Carlstrom, A. McDonald, C. Cao Minh, W. Baek, C. Kozyrakis, and K. Olukotun. A scalable, non-blocking approach to transactional memory. In International Symposium on High-Performance Computer Architecture (HPCA). Feb 2007.
-
(2007)
International Symposium on High-Performance Computer Architecture (HPCA)
-
-
Chafi, H.1
Casper, J.2
Carlstrom, B.D.3
McDonald, A.4
Cao Minh, C.5
Baek, W.6
Kozyrakis, C.7
Olukotun, K.8
-
5
-
-
0035217280
-
Evaluation of Crossbar Architectures for Deadlock Recovery Routers
-
Y. Choi and T. M. Pinkston. Evaluation of Crossbar Architectures for Deadlock Recovery Routers. J. Parallel Distrib. Comput., 61(1):49-78, 2001.
-
(2001)
J. Parallel Distrib. Comput
, vol.61
, Issue.1
, pp. 49-78
-
-
Choi, Y.1
Pinkston, T.M.2
-
8
-
-
64949130713
-
Design and evaluation of a hierarchical on-chip interconnect for next-generation cmps
-
Raleigh, North Carolina
-
R. Das, S. Eachempati, A. K. Mishra, N. Vijaykrishnan, and C. R. Das. Design and evaluation of a hierarchical on-chip interconnect for next-generation cmps. In International Symposium on High-Performance Computer Architecture (HPCA), pages 175-186, Raleigh, North Carolina, 2009.
-
(2009)
International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 175-186
-
-
Das, R.1
Eachempati, S.2
Mishra, A.K.3
Vijaykrishnan, N.4
Das, C.R.5
-
9
-
-
76749090444
-
Keynote : On-die interconnect and other challenges for chip-level multi-processing
-
Stanford, CA, Aug
-
T. Fossum. Keynote : On-die interconnect and other challenges for chip-level multi-processing. In Proc. of Hot Interconnects, Stanford, CA, Aug. 2007.
-
(2007)
Proc. of Hot Interconnects
-
-
Fossum, T.1
-
11
-
-
36348975404
-
Implementation and Evaluation of On-Chip Network Architectures
-
P. Gratz, C. Kim, R. McDonald, S. Keckler, and D. Burger. Implementation and Evaluation of On-Chip Network Architectures. In International Conference on Computer Design (ICCD), 2006.
-
(2006)
International Conference on Computer Design (ICCD)
-
-
Gratz, P.1
Kim, C.2
McDonald, R.3
Keckler, S.4
Burger, D.5
-
12
-
-
64949096127
-
Express cube topologies for on-chip interconnects
-
Raleigh, North Carolina
-
B. Grot, J. Hestness, S. W. Keckler, and O. Mutlu. Express cube topologies for on-chip interconnects. In International Symposium on High-Performance Computer Architecture (HPCA), pages 163-174, Raleigh, North Carolina, 2009.
-
(2009)
International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 163-174
-
-
Grot, B.1
Hestness, J.2
Keckler, S.W.3
Mutlu, O.4
-
13
-
-
36849022584
-
A 5-ghz mesh interconnect for a teraflops processor
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. A 5-ghz mesh interconnect for a teraflops processor. IEEE Micro, 27(5):51-61, 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
14
-
-
35348826095
-
Physical simulation for animation and visual effects: Parallelization and characterization for chip multiprocessors
-
San Diego, CA
-
C. J. Hughes, R. Grzeszczuk, E. Sifakis, D. Kim, S. Kumar, A. Selle, J. Chhugani, M. J. Holliman, and Y.-K. Chen. Physical simulation for animation and visual effects: parallelization and characterization for chip multiprocessors. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 220-231, San Diego, CA, 2007.
-
(2007)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 220-231
-
-
Hughes, C.J.1
Grzeszczuk, R.2
Sifakis, E.3
Kim, D.4
Kumar, S.5
Selle, A.6
Chhugani, J.7
Holliman, M.J.8
Chen, Y.-K.9
-
15
-
-
76749112385
-
-
Intel. Platform 2015: Intel Processor and Platform Evolution for the Next Decade. Mar. 2005.
-
Intel. Platform 2015: Intel Processor and Platform Evolution for the Next Decade. Mar. 2005.
-
-
-
-
17
-
-
47349129525
-
Flattened Butterfly for On-Chip Networks
-
Chicago, IL, Dec
-
J. Kim, J. Balfour, and W. J. Dally. Flattened Butterfly for On-Chip Networks. In Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 172-182, Chicago, IL, Dec. 2007.
-
(2007)
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 172-182
-
-
Kim, J.1
Balfour, J.2
Dally, W.J.3
-
18
-
-
52649108804
-
Technology-driven, highly-scalable dragonfly topology
-
Beijing, China
-
J. Kim, W. J. Dally, S. Scott, and D. Abts. Technology-driven, highly-scalable dragonfly topology. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 77-88, Beijing, China, 2008.
-
(2008)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 77-88
-
-
Kim, J.1
Dally, W.J.2
Scott, S.3
Abts, D.4
-
19
-
-
35348908288
-
A novel dimensionally-decomposed router for on-chip communication in 3d architectures
-
San Diego, CA
-
J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, N. Vijaykrishnan, M. S. Yousif, and C. R. Das. A novel dimensionally-decomposed router for on-chip communication in 3d architectures. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 138-149, San Diego, CA, 2007.
-
(2007)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 138-149
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Das, R.4
Xie, Y.5
Vijaykrishnan, N.6
Yousif, M.S.7
Das, C.R.8
-
20
-
-
33845899086
-
A gracefully degrading and energy-efficient modular router architecture for on-chip networks
-
Boston, MA, June
-
J. Kim, C. Nicopoulos, D. Park, V. Narayanan, M. S. Yousif, and C. R. Das. A gracefully degrading and energy-efficient modular router architecture for on-chip networks. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 4-15, Boston, MA, June 2006.
-
(2006)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 4-15
-
-
Kim, J.1
Nicopoulos, C.2
Park, D.3
Narayanan, V.4
Yousif, M.S.5
Das, C.R.6
-
21
-
-
52649149257
-
-
A. K. Kodi, A. Sarathy, and A. Louri. iDEAL: Inter-Router Dual-function Energy and Area-efficient Links for Network-on-Chip. In Proc. of the International Symposium on Computer Architecture (ISCA), Beijing, China, June 2008.
-
A. K. Kodi, A. Sarathy, and A. Louri. iDEAL: Inter-Router Dual-function Energy and Area-efficient Links for Network-on-Chip. In Proc. of the International Symposium on Computer Architecture (ISCA), Beijing, China, June 2008.
-
-
-
-
22
-
-
52949114554
-
A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator in 65nm cmos
-
October
-
A. Kumar, P. Kundu, A. Singh, L.-S. Peh, and N. Jha. A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator in 65nm cmos. In International Conference on Computer Design (ICCD), October 2007.
-
(2007)
International Conference on Computer Design (ICCD)
-
-
Kumar, A.1
Kundu, P.2
Singh, A.3
Peh, L.-S.4
Jha, N.5
-
23
-
-
66749104350
-
Token flow control
-
Lake Como, Italy
-
A. Kumar, L.-S. Peh, and N. K. Jha. Token flow control. In Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 342-353, Lake Como, Italy, 2008.
-
(2008)
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
, pp. 342-353
-
-
Kumar, A.1
Peh, L.-S.2
Jha, N.K.3
-
24
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
San Diego, CA, June
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jhay. Express virtual channels: Towards the ideal interconnection fabric. In Proc. of the International Symposium on Computer Architecture (ISCA), San Diego, CA, June 2007.
-
(2007)
Proc. of the International Symposium on Computer Architecture (ISCA)
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jhay, N.K.4
-
25
-
-
52649094492
-
Globally-synchronized frames for guaranteed quality-of-service in on-chip networks
-
Beijing, China, June
-
J. W. Lee, M. C. Ng, and K. Asanovic. Globally-synchronized frames for guaranteed quality-of-service in on-chip networks. In Proc. of the International Symposium on Computer Architecture (ISCA), Beijing, China, June 2008.
-
(2008)
Proc. of the International Symposium on Computer Architecture (ISCA)
-
-
Lee, J.W.1
Ng, M.C.2
Asanovic, K.3
-
27
-
-
64949183988
-
Prediction router: Yet another low latency on-chip router architecture
-
Raleigh, North Carolina
-
H. Matsutani, M. Koibuchi, H. Amano, and T. Yoshinaga. Prediction router: Yet another low latency on-chip router architecture. In International Symposium on High-Performance Computer Architecture (HPCA), pages 367-378, Raleigh, North Carolina, 2009.
-
(2009)
International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 367-378
-
-
Matsutani, H.1
Koibuchi, M.2
Amano, H.3
Yoshinaga, T.4
-
28
-
-
44349142233
-
Integration Challenges and Tradeoffs for Tera-scale Architectures
-
M.Azimi, N.Cherukuri, D.N.Jayasimha, A. Kumar, P. K. andS. Park, I. Schoinas, and A. Vaidya. Integration Challenges and Tradeoffs for Tera-scale Architectures. In Intel Technology Journal, 2006.
-
(2006)
Intel Technology Journal
-
-
Azimi, M.1
Cherukuri, N.2
Jayasimha, D.N.3
Kumar, A.4
andS, P.K.5
Park6
Schoinas, I.7
Vaidya, A.8
-
29
-
-
0035058593
-
Solid-State Circuits Conference, 2001. Digest of Technical Papers. ISSCC. 2001 IEEE International
-
464
-
M. Mizuno, W. Dally, and H. Onishi. Elastic interconnects: repeater-inserted long wiring capable of compressing and decompressing data. Solid-State Circuits Conference, 2001. Digest of Technical Papers. ISSCC. 2001 IEEE International, pages 346-347, 464, 2001.
-
(2001)
, pp. 346-347
-
-
Mizuno, M.1
Dally, W.2
Onishi, H.3
-
31
-
-
0036954772
-
-
S. S. Mukherjee, F. Silla, P. Bannon, J. Emer, S. Lang, and D. Webb. A comparative study of arbitration algorithms for the alpha 21364 pipelined router. pages 223-234, 2002.
-
(2002)
A comparative study of arbitration algorithms for the alpha 21364 pipelined router
, pp. 223-234
-
-
Mukherjee, S.S.1
Silla, F.2
Bannon, P.3
Emer, J.4
Lang, S.5
Webb, D.6
-
32
-
-
33748622010
-
The design and implementation of a low-latency on-chip network
-
Yokohama, Japan
-
R. Mullins, A. West, and S. Moore. The design and implementation of a low-latency on-chip network. In Proc. of the 2006 conference on Asia South Pacific design automation (ASP-DAC), pages 164-169, Yokohama, Japan, 2006.
-
(2006)
Proc. of the 2006 conference on Asia South Pacific design automation (ASP-DAC)
, pp. 164-169
-
-
Mullins, R.1
West, A.2
Moore, S.3
-
34
-
-
40349107206
-
Vichar: A dynamic virtual channel regulator for network-on-chip routers
-
Orlando, FL
-
C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das. Vichar: A dynamic virtual channel regulator for network-on-chip routers. In Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Orlando, FL, 2006.
-
(2006)
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
-
-
Nicopoulos, C.A.1
Park, D.2
Kim, J.3
Vijaykrishnan, N.4
Yousif, M.S.5
Das, C.R.6
-
35
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
Sept./Oct
-
J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and L.-S. Peh. Research challenges for on-chip interconnection networks. IEEE Micro, pages 96-108, Sept./Oct. 2007.
-
(2007)
IEEE Micro
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayasimha, D.N.4
Keckler, S.W.5
Peh, L.-S.6
-
36
-
-
31344457004
-
-
D. Pham, T. Aipperspach, D. Boerstler, M. Bolliger, R. Chaudhry, D. Cox, P. Harvey, P. Harvey, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Pham, J. Pille, S. Posluszny, M. Riley, D. Stasiak, M. Suzuoki, O. Takahashi, J. Warnock, S. Weitzel, D. Wendel, and K. Yazawa. Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor. Solid-State Circuits, IEEE Journal of, 41(1):179-196, Jan. 2006.
-
D. Pham, T. Aipperspach, D. Boerstler, M. Bolliger, R. Chaudhry, D. Cox, P. Harvey, P. Harvey, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Pham, J. Pille, S. Posluszny, M. Riley, D. Stasiak, M. Suzuoki, O. Takahashi, J. Warnock, S. Weitzel, D. Wendel, and K. Yazawa. Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor. Solid-State Circuits, IEEE Journal of, 41(1):179-196, Jan. 2006.
-
-
-
-
37
-
-
33845900177
-
The BlackWidow High-radix Clos Network
-
Boston, MA, June
-
S. Scott, D. Abts, J. Kim, and W. J. Dally. The BlackWidow High-radix Clos Network. In Proc. of the International Symposium on Computer Architecture (ISCA), Boston, MA, June 2006.
-
(2006)
Proc. of the International Symposium on Computer Architecture (ISCA)
-
-
Scott, S.1
Abts, D.2
Kim, J.3
Dally, W.J.4
-
38
-
-
63149128672
-
Larrabee: A many-core x86 architecture for visual computing
-
L. Seiler, D. Carmean, E. Sprangle, T. Forsyth, P. Dubey, S. Junkins, A. Lake, R. Cavin, R. Espasa, E. Grochowski, T. Juan, M. Abrash, J. Sugerman, and P. Hanrahan. Larrabee: A many-core x86 architecture for visual computing. IEEE Micro, 29(1):10-21, 2009.
-
(2009)
IEEE Micro
, vol.29
, Issue.1
, pp. 10-21
-
-
Seiler, L.1
Carmean, D.2
Sprangle, E.3
Forsyth, T.4
Dubey, P.5
Junkins, S.6
Lake, A.7
Cavin, R.8
Espasa, R.9
Grochowski, E.10
Juan, T.11
Abrash, M.12
Sugerman, J.13
Hanrahan, P.14
-
39
-
-
27544463701
-
Near-optimal worst-case throughput routing for two-dimensional mesh networks
-
D. Seo, A. Ali, W.-T. Lim, N. Rafique, and M. Thottethodi. Near-optimal worst-case throughput routing for two-dimensional mesh networks. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 432-443, 2005.
-
(2005)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 432-443
-
-
Seo, D.1
Ali, A.2
Lim, W.-T.3
Rafique, N.4
Thottethodi, M.5
-
40
-
-
14844348900
-
Scalar operand networks
-
M. B. Taylor, W. Lee, S. P. Amarasinghe, and A. Agarwal. Scalar operand networks. IEEE Transactions on Parallel and Distributed Systems, 16(2):145-162, 2005.
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, vol.16
, Issue.2
, pp. 145-162
-
-
Taylor, M.B.1
Lee, W.2
Amarasinghe, S.P.3
Agarwal, A.4
-
42
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
Santa Margherita Ligure, Italy
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 24-36, Santa Margherita Ligure, Italy, 1995.
-
(1995)
Proc. of the International Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
|