메뉴 건너뛰기




Volumn 89, Issue 4, 2001, Pages 467-488

Impact of small process geometries on microarchitectures in systems on a chip

Author keywords

Integrated circuit interconnections; Integrated circuit modeling; Routing; Ultralarge scale integration

Indexed keywords


EID: 33646924323     PISSN: 00189219     EISSN: None     Source Type: Journal    
DOI: 10.1109/5.920579     Document Type: Article
Times cited : (88)

References (51)
  • 2
    • 0031232922 scopus 로고    scopus 로고
    • "Will physical scalability sabotage performance gains?,"
    • Sept.
    • D. Malzke, "Will physical scalability sabotage performance gains?," IEEE Computer, pp. 37-39, Sept. 1997.
    • (1997) IEEE Computer , pp. 37-39
    • Malzke, D.1
  • 3
    • 0029547914 scopus 로고
    • "Interconnect scaling-The real limiter to high performance
    • M. Bohr, "Interconnect scaling-The real limiter to high performance ULSI" in Proc. IEDM, 1995, pp. 241-244.
    • (1995) ULSI" in Proc. IEDM , pp. 241-244
    • Bohr, M.1
  • 5
    • 0029207481 scopus 로고
    • "Performance trends in high-performance processors,"
    • G. A. Sai-Halasz, "Performance trends in high-performance processors," Proc. IEEE, pp. 20-36, Jan. 1995.
    • (1995) Proc. IEEE , pp. 20-36
    • Sai-Halasz, G.A.1
  • 6
    • 33646925136 scopus 로고    scopus 로고
    • "GTX: The MARCO GSRC technology extrapolation system,"
    • to be published.
    • A. E. Caldwell et al., "GTX: The MARCO GSRC technology extrapolation system," in Proc. DAC, to be published.
    • Proc. DAC
    • Caldwell, A.E.1
  • 9
    • 0003144772 scopus 로고    scopus 로고
    • "Device and technology impact on low power electronics
    • J. Rabaey, Ed. Boston, MA: Kluwer
    • C. Hu, "Device and technology impact on low power electronics," in Low Power Design Methodologies, J. Rabaey, Ed. Boston, MA: Kluwer, 1996, pp. 21-35.
    • Low Power Design Methodologies , vol.1996 , pp. 21-35
    • Hu, C.1
  • 10
    • 84886448151 scopus 로고    scopus 로고
    • "Full copper wiring in a sub-0.25 μm CMOS ULSI technology
    • D. Edelstein et al., "Full copper wiring in a sub-0.25 μm CMOS ULSI technology," in Proc. IEDM, 1997, pp. 773-776.
    • (1997) Proc. IEDM , pp. 773-776
    • Edelstein, D.1
  • 11
    • 84886448141 scopus 로고    scopus 로고
    • "A high-performance 1.8V, 0.2-μm CMOS technology with copper metallization
    • S. Venkalesan et al, "A high-performance 1.8V, 0.2-μm CMOS technology with copper metallization," in Proc. IEDM, 1997, pp. 769-772.
    • (1997) Proc. IEDM , pp. 769-772
    • Venkalesan, S.1
  • 14
    • 0031079047 scopus 로고    scopus 로고
    • "High-speed and low-power interconnect technology for sub-quarter-micron ASIC's
    • Feb.
    • M. Miyamoto, T. Takeda, and T. Furusawa, "High-speed and low-power interconnect technology for sub-quarter-micron ASIC's," IEEE Trans. Electron Devices, pp. 250-256, Feb. 1997.
    • (1997) IEEE Trans. Electron Devices , pp. 250-256
    • Miyamoto, M.1    Takeda, T.2    Furusawa, T.3
  • 15
    • 84886447980 scopus 로고    scopus 로고
    • "Damascene integration of copper and ultra-low-k xcrogcl for high performance interconnects.'
    • E. M. Zielinski et al., "Damascene integration of copper and ultra-low-k xcrogcl for high performance interconnects.'' in Proc. IEDM, 1997, pp. 936-938.
    • (1997) Proc. IEDM , pp. 936-938
    • Zielinski, E.M.1
  • 16
    • 0031645246 scopus 로고    scopus 로고
    • "Interconnect scaling: Signal integrity and performance in future high-speed CMOS designs
    • D. Sylvester, C. Hu, O. S. Nakagawa, and S.-Y. Oh, "Interconnect scaling: Signal integrity and performance in future high-speed CMOS designs," in Proc. VLSI Symp. Technology, 1998, pp. 42-43.
    • (1998) Proc. VLSI Symp. Technology , pp. 42-43
    • Sylvester, D.1    Hu, C.2    Nakagawa, O.S.3    Oh, S.-Y.4
  • 17
    • 0030686019 scopus 로고    scopus 로고
    • "Calculating worst-case gate delays due to dominant capacitance coupling,"
    • F. Dartu and L. Pileggi, "Calculating worst-case gate delays due to dominant capacitance coupling," in Proc. DAC, 1997, pp. 46-51.
    • (1997) Proc. DAC , pp. 46-51
    • Dartu, F.1    Pileggi, L.2
  • 18
    • 0003149666 scopus 로고    scopus 로고
    • "Wire delay in the presence of crosstalk," in
    • G. Yee, R. Chandra, V. Ganesan, and C. Sechen, "Wire delay in the presence of crosstalk," in Proc. TAU, 1997, pp. 170-175.
    • (1997) Proc. TAU , pp. 170-175
    • Yee, G.1    Chandra, R.2    Ganesan, V.3    Sechen, C.4
  • 19
    • 0030387118 scopus 로고    scopus 로고
    • "Gate oxide scaling limits and projection,"
    • C. Hu, "Gate oxide scaling limits and projection," in Proc. IEDM, 1996, pp. 319-322.
    • Proc. IEDM , vol.1996 , pp. 319-322
    • Hu, C.1
  • 20
    • 0031651838 scopus 로고    scopus 로고
    • eff CMOS technology with copper interconnects," in
    • eff CMOS technology with copper interconnects," in Proc. ISSCC, 1998, pp. 240-241.
    • Proc. ISSCC , vol.1998 , pp. 240-241
    • Rohrer, N.1
  • 21
    • 0030285348 scopus 로고    scopus 로고
    • "A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor,"
    • Nov.
    • J. Montanaro et al "A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor," IEEE J. Solid-Stale Circuits, pp. 1703-1714, Nov. 1996.
    • (1996) IEEE J. Solid-Stale Circuits , pp. 1703-1714
    • Montanaro, J.1
  • 23
    • 5644245368 scopus 로고    scopus 로고
    • "Metal pitch effects in deep submicron IC design,"
    • July
    • R. Payne, "Metal pitch effects in deep submicron IC design," Electron. Eng., pp. 45-47, July 1996.
    • (1996) Electron. Eng. , pp. 45-47
    • Payne, R.1
  • 25
    • 0029483061 scopus 로고
    • "Implementation of low- Dielectric constant materials for ULS circuit performance improvement."
    • S.-P. Jeng et al. "Implementation of low- dielectric constant materials for ULS circuit performance improvement." in Proc. Symp. VLSI Technology, Systems, and Applications, 1995, pp. 164-168.
    • (1995) In Proc. Symp. VLSI Technology, Systems, and Applications , pp. 164-168
    • Jeng, S.-P.1
  • 26
    • 0029369234 scopus 로고
    • "Modeling and characterization of long on-chip interconnections for high-performance microprocessors,"
    • Sept.
    • A. Deutsch et al., "Modeling and characterization of long on-chip interconnections for high-performance microprocessors," IBM J. Res. Develop., pp. 547-567, Sept. 1995.
    • (1995) IBM J. Res. Develop. , pp. 547-567
    • Deutsch, A.1
  • 27
    • 0032027733 scopus 로고    scopus 로고
    • "The test of time: Clock cycle estimation and test challenges for future microprocessors,"
    • Mar.
    • P. Fisher and R. Nesbitt, "The test of time: Clock cycle estimation and test challenges for future microprocessors," IEEE Circuits Devices Mug., pp. 37-44, Mar. 1998.
    • (1998) IEEE Circuits Devices Mug. , pp. 37-44
    • Fisher, P.1    Nesbitt, R.2
  • 28
    • 0031619819 scopus 로고    scopus 로고
    • "Stochastic net length distributions for global interconnects in a heterogeneous system-on-a-chip,"
    • P. Zarkesh-Ha and J. D. Meindl, "Stochastic net length distributions for global interconnects in a heterogeneous system-on-a-chip," in Proc. VLSI Symp. Technology, 1998, pp. 44-45.
    • (1998) Proc. VLSI Symp. Technology , pp. 44-45
    • Zarkesh-Ha, P.1    Meindl, J.D.2
  • 30
    • 0032142155 scopus 로고    scopus 로고
    • Closed-form modeling of on-chip crosstalk noise in deep-submicron ULSI interconnect,"
    • Aug.
    • O. S. Nakagawa, D. Sylvester, J. G. McBride, and S.-Y. Oh, "Closed-form modeling of on-chip crosstalk noise in deep-submicron ULSI interconnect," Hewlett-Packard J., pp. 39-45, Aug. 1998.
    • (1998) Hewlett-Packard J. , pp. 39-45
    • Nakagawa, O.S.1    Sylvester, D.2    McBride, J.G.3    Oh, S.-Y.4
  • 31
    • 0031651865 scopus 로고    scopus 로고
    • "Global wires: Harmful?,"
    • R. Otten, "Global wires: Harmful?," in Proc. ISPD, 1998, pp. 104-109.
    • (1998) In Proc. ISPD , pp. 104-109
    • Otten, R.1
  • 33
    • 0028448788 scopus 로고
    • "Power consumption estimation in CMOS VLSI chips,"
    • June
    • D. Liu and C. Svensson, "Power consumption estimation in CMOS VLSI chips," IEEE J. Solid-Stale Circuits, pp. 663-670, June 1994.
    • (1994) IEEE J. Solid-Stale Circuits , pp. 663-670
    • Liu, D.1    Svensson, C.2
  • 34
    • 0021477994 scopus 로고
    • "Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits,"
    • Aug.
    • H. J. M. Veendrick, "Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits," IEEE J. SolidState Circuits, pp. 468-473, Aug. 1984.
    • (1984) IEEE J. SolidState Circuits , pp. 468-473
    • Veendrick, H.J.M.1
  • 35
    • 33646923741 scopus 로고    scopus 로고
    • [Online]. Available
    • [Online]. Available; http://www.mentor.com/inventra
  • 36
    • 0030383519 scopus 로고    scopus 로고
    • "A high performance 0.25 μm logic technology optimized for 1.8V operation,"
    • M. Bohr et al., "A high performance 0.25 μm logic technology optimized for 1.8V operation," in Proc. IEDM, 1996, pp. 847-850.
    • (1996) In Proc. IEDM , pp. 847-850
    • Bohr, M.1
  • 37
    • 0027222295 scopus 로고
    • "Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSI's,"
    • Jan.
    • T. Sakurai, "Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSI's," IEEE Trans. Electron Devices, vol. 40, pp. 118-124, Jan. 1993.
    • (1993) IEEE Trans. Electron Devices , vol.40 , pp. 118-124
    • Sakurai, T.1
  • 39
    • 33646916623 scopus 로고    scopus 로고
    • [Online]. Available
    • [Online]. Available: http://www-device.eecs.berkeley.edu/ dennis/BACPAC
  • 40
    • 0033903824 scopus 로고    scopus 로고
    • "A global wiring paradigm for deep submicron design,"
    • Feb.
    • D. Sylvester and K. Keutzer, "A global wiring paradigm for deep submicron design," IEEE Trans. Computer-Aided Design, vol. 19, pp. 242-252, Feb. 2000.
    • (2000) IEEE Trans. Computer-Aided Design , vol.19 , pp. 242-252
    • Sylvester, D.1    Keutzer, K.2
  • 41
    • 0033723793 scopus 로고    scopus 로고
    • "Requirements for models of achievable routing," in
    • A. B. Kahng, S. Mantik, and D. Stroobandt, "Requirements for models of achievable routing," in Proc. ISPD, 2000, pp. 4-11.
    • (2000) Proc. ISPD , pp. 4-11
    • Kahng, A.B.1    Mantik, S.2    Stroobandt, D.3
  • 42
    • 0018453798 scopus 로고
    • "Placement and average interconnection lengths of computer logic,'"
    • Apr.
    • W. E. Donath, "Placement and average interconnection lengths of computer logic,'" IEEE Trans. Circuits Syst., vol. 26, pp. 272-277, Apr. 1979.
    • (1979) IEEE Trans. Circuits Syst. , vol.26 , pp. 272-277
    • Donath, W.E.1
  • 43
    • 0028517129 scopus 로고
    • "Time-domain macromodels for VLSI interconnect analysis,"
    • Oct.
    • S.-Y. Kim, N. Gopal, and L. Pillegi, "Time-domain macromodels for VLSI interconnect analysis," IEEE Trans. Computer-Aided Design, vol. 13, pp. 1257-1270, Oct. 1994.
    • (1994) IEEE Trans. Computer-Aided Design , vol.13 , pp. 1257-1270
    • Kim, S.-Y.1    Gopal, N.2    Pillegi, L.3
  • 44
    • 0031622746 scopus 로고    scopus 로고
    • "Figures of merit to characterize the importance of on-chip inductance,"
    • Y. 1. Ismail, E. G. Friedrnan, and J. L. Neves, "Figures of merit to characterize the importance of on-chip inductance," in Proc. DAC, 1998, pp. 560-565.
    • (1998) Proc. DAC , pp. 560-565
    • Ismail, Y.1    Friedrnan, E.G.2    Neves, J.L.3
  • 45
    • 0031623454 scopus 로고    scopus 로고
    • "Layout techniques for minimizing on-chip interconnect self-inductance," in
    • Y. Massoud. S. Majors, T. Bustami, and J. While, "Layout techniques for minimizing on-chip interconnect self-inductance," in Proc. DAC, 1998, pp. 566-571.
    • (1998) Proc. DAC , pp. 566-571
    • Massoud, Y.1    Majors, S.2    Bustami, T.3    While, J.4
  • 46
    • 0032206398 scopus 로고    scopus 로고
    • "Clocking design and analysis for a 600-MHz Alpha microprocessor,"
    • Nov.
    • D. W. Bailey and B. J. Benschneider, "Clocking design and analysis for a 600-MHz Alpha microprocessor," IEEE J. Solid-State Circuits, vol. 33, pp. 1627-1633, Nov. 1998.
    • (1998) IEEE J. Solid-State Circuits , vol.33 , pp. 1627-1633
    • Bailey, D.W.1    Benschneider, B.J.2
  • 47
    • 0031077629 scopus 로고    scopus 로고
    • "Package clock distribution design optimization for high-speed and low-power VLSI's,"
    • Feb.
    • Q. Zhu and S. Tarn, "Package clock distribution design optimization for high-speed and low-power VLSI's," IEEE Trans. Comp., Packag., Munufact. Technol., vol. 20, pp. 56-63, Feb. 1997.
    • (1997) IEEE Trans. Comp., Packag., Munufact. Technol. , vol.20 , pp. 56-63
    • Zhu, Q.1    Tarn, S.2
  • 49
    • 0022670257 scopus 로고
    • "Power distribution techniques for VLSI circuits,"
    • Feb.
    • W. S. Song and L. A. Classer, "Power distribution techniques for VLSI circuits," IEEE J. Salid-State Circuits, vol. 21, pp. 150-156, Feb. 1986.
    • (1986) IEEE J. Salid-State Circuits , vol.21 , pp. 150-156
    • Song, W.S.1    Classer, L.A.2
  • 50
    • 33646926159 scopus 로고    scopus 로고
    • "Differential signaling in crosstalk avoidance strategies for physical synthesis,"
    • to be published.
    • Y. Massoud, J. Kawa, D. MacMillen, and J. White, "Differential signaling in crosstalk avoidance strategies for physical synthesis," in In:. Workshop Timing Issues, to be published.
    • In:. Workshop Timing Issues
    • Massoud, Y.1    Kawa, J.2    MacMillen, D.3    White, J.4


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.